基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文

基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文

ID:12335628

大?。?92.00 KB

頁數(shù):39頁

時間:2018-07-16

基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文_第1頁
基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文_第2頁
基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文_第3頁
基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文_第4頁
基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文_第5頁
資源描述:

《基于fpga的fir數(shù)字濾波器的設(shè)計及仿真畢業(yè)設(shè)計論文》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫。

1、基于FPGA的FIR數(shù)字濾波器的設(shè)計及仿真1緒論本章主要論述該課題的研究意義,目前在世界上的發(fā)展情況,以及我在這篇論文中所用到的主要的設(shè)計方法與設(shè)計工作。1.1課題研究的意義許多工程領(lǐng)域都涉及到如何能在強(qiáng)背景的噪聲信號和干擾信號中提取到真正的信號。如:遙感和遙測系統(tǒng),通信系統(tǒng),雷達(dá)系統(tǒng),航天系統(tǒng)等,這就要求有信號的濾波。濾波器的帶寬等性能,處理速度的要求隨著現(xiàn)在對高速,寬帶,實時信號處理的要求越來越高,也隨之提高。系統(tǒng)的穩(wěn)定性和后續(xù)信號的處理受濾波器的性能好壞程度影響常大。[1]1.2國內(nèi)外研究動態(tài)1.2.1數(shù)字信號處理的發(fā)展動

2、態(tài)一般可以用兩類方法來實現(xiàn)FIR濾波器的設(shè)計。一類通過軟件來設(shè)計實現(xiàn),使用常見的電腦語言如高層次的C/C++跟MATLAB語言。此方法用于教學(xué)或算法仿真。但是采用軟件的方法不能實現(xiàn)實時性。目前可以通過以下幾種方式在硬件中來實現(xiàn),。一種是使用可編程的主要數(shù)學(xué)單位是一個乘法累加器(MAC)的通用DSP芯片編程。實時數(shù)字信號能夠?qū)崿F(xiàn)高速的處理,是因為MAC在一臺機(jī)器時鐘周期就能完成乘法累加操作,同時在硬件上還輔助與不同的流水結(jié)構(gòu)和哈佛架構(gòu)。然而,在應(yīng)用時受到了限制,是由于硬件結(jié)構(gòu)和流水結(jié)構(gòu)是固定不變的。一種是使用專用的ASIC數(shù)字信號

3、處理芯片。這種方法是芯片尺寸小,高性能,保密性好。其缺點(diǎn)是一個單一功能的芯片,多是針對一定的功能而設(shè)計,靈活性不夠。第39頁共36頁基于FPGA的FIR數(shù)字濾波器的設(shè)計及仿真另一種方法是使用可編程邏輯器件(FPGA/CPLD)。FPGA所具有得可編程邏輯的靈活性突破了流水線結(jié)構(gòu)和并行處理的局限性,可以很好的實現(xiàn)實時信號處理功能。研發(fā)過程中它的可移植性更好,可以縮短開發(fā)周期。[2~11]1.2.2FIR數(shù)字濾波器的FPGA實現(xiàn)使用FPGA技術(shù)設(shè)計的FIR數(shù)字濾波器在目前通常采用的是乘法器結(jié)構(gòu)和分布式算法結(jié)構(gòu)。乘法器結(jié)構(gòu),有乘累加結(jié)

4、構(gòu)與并行乘法器結(jié)構(gòu)兩種形式。乘累加結(jié)構(gòu)是最簡單的一種,占用資源少,缺點(diǎn)是處理速度慢;并行乘法器結(jié)構(gòu)比較復(fù)雜,但是如果能夠加上流水結(jié)構(gòu),信號就能夠?qū)崿F(xiàn)高速的處理,但是它還是會受到處理速度和數(shù)量的限制。分布式算法(DA)的,是將固定系數(shù)乘法-累加運(yùn)算轉(zhuǎn)換成了查找表的運(yùn)算從而巧妙地利用了ROM查找表,避免了乘法的運(yùn)算。巧妙運(yùn)用查找表可以在很大程度上提高運(yùn)算速度和插入流水結(jié)構(gòu)。因為它只是一個簡單的加法運(yùn)算。分布式算法(DA),可以分為串行分布式算法,并行分布式算法,串行與并行結(jié)合的分布式算法。串行分布式算法,它占用的資源很少,結(jié)構(gòu)也相對

5、簡單,但就是還不能擁有很高的處理速度;并行的分布式并行算法擁有更加整齊的結(jié)構(gòu),主要用于需要高速處理的情況;串行與并行結(jié)合的分布式算法,占用資源大,也多用于對速度要求高的場合。在不同的情況下,各種算法的處理效果是不同的,要根據(jù)對處理速度的不同要求選擇不同的算法。無論是采用哪種算法,都會用到ROM做為查找表的器件。FIR濾波器的階數(shù)增加,就會使得ROM的數(shù)目不斷的增加,所占用的資源也不斷的增加,到目前為止沒有一個有效的方式,可以將ROM的數(shù)量跟ROM的規(guī)模減少。[2~11]1.3本課題研究方法和主要工作首先介紹了數(shù)字濾波器的基本概念

6、,然后介紹了FIR濾波器的相關(guān)理論。最后從實際應(yīng)用設(shè)計出發(fā)提出一個FIR濾波器設(shè)計流程,包括濾波器結(jié)構(gòu)的選擇、濾波器的系數(shù)計算、系數(shù)的量化等,按照此流程即能設(shè)計出滿足實際性能需求的數(shù)字濾波器。根據(jù)FIR濾波器的功能模塊的劃分,描述了各個功能模塊的具體設(shè)計,給出其仿真波形,并通過QuartusⅡ進(jìn)行了仿真驗證。第39頁共36頁基于FPGA的FIR數(shù)字濾波器的設(shè)計及仿真(1)采用8輸入查找表進(jìn)行分布式算法,設(shè)計了一個輸入8位,輸出8位的256階線性相位FIR濾波器,簡化了傳統(tǒng)的MAC設(shè)計。(2)各模塊參數(shù)獨(dú)立于濾波器的結(jié)構(gòu),有較強(qiáng)的

7、通用性,適于模塊化設(shè)計。(3)利用VHDL設(shè)計,可重復(fù)配置FPGA,系統(tǒng)易于維護(hù)和擴(kuò)展。第39頁共36頁基于FPGA的FIR數(shù)字濾波器的設(shè)計及仿真2FPGA技術(shù)以及XilinxVirtexIIFPGA芯片2.1FPGA發(fā)展基本概況現(xiàn)場可編程門陣列FPGA是一種廣泛使用的高密度可編程邏輯器件。20世紀(jì)80年代賽靈思公司推出了第一個FPGA,除了賽靈思外,Actel,Altera公司,QuickLogic公司和其他公司也生產(chǎn)FPGA產(chǎn)品。FPGA布線由單位的可編程邏輯陣列構(gòu)成,用可編程I/O單元陣列包圍,分隔的資源構(gòu)成了整個芯片。邏

8、輯單元陣列布線通道連結(jié)在一起,以達(dá)到一定的邏輯功能。一個FPGA由豐富的快速邏輯門結(jié)構(gòu),寄存器和I/O組成。按照編程功能,有反熔絲的一次性可編程的靜態(tài)存儲器(SRAM)結(jié)構(gòu)。賽靈思的FPGA基于靜態(tài)存儲單元,SRAM的查找表類型,在互連關(guān)系的模式下,也可以再次在

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。