2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告

2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告

ID:18542470

大?。?76.00 KB

頁數(shù):20頁

時(shí)間:2018-09-18

2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告_第1頁
2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告_第2頁
2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告_第3頁
2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告_第4頁
2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告_第5頁
資源描述:

《2015-2020年中國組合飼料市場供需預(yù)測及未來前景預(yù)測報(bào)告》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫。

1、泉州師范學(xué)院畢業(yè)論文(設(shè)計(jì))題目基于FPGA的電子琴設(shè)計(jì)物理與信息工程學(xué)院電子信息科學(xué)與技術(shù)專業(yè)2007級學(xué)生姓名吳麗萍學(xué)號070303051指導(dǎo)教師曾永西職稱講師完成日期2011年3月30日教務(wù)處制19基于FPGA的電子琴設(shè)計(jì)泉州師范學(xué)院電子信息科學(xué)與技術(shù)專業(yè)070303051吳麗萍指導(dǎo)教師曾永西講師【摘要】介紹了基于FPGA的電子琴的工作原理和設(shè)計(jì)過程。用Altera公司的EP2C8Q208C8N芯片為核心器件,通過運(yùn)用硬件編程語言VHDL描述,在QuartusII平臺上,實(shí)現(xiàn)了電子琴的手動(dòng)彈奏和自動(dòng)演奏功能。本系統(tǒng)主要由音頻發(fā)生模塊

2、,鍵盤控制模塊和存儲器模塊組成。選擇手動(dòng)彈奏模式按鍵時(shí),按下音符鍵后就會(huì)選通相應(yīng)的頻率輸出;選擇自動(dòng)演奏模式按鍵時(shí),儲存器會(huì)將編寫好的音樂信息依次取出,去選通各個(gè)對應(yīng)的頻率輸出,實(shí)現(xiàn)自動(dòng)演奏。音頻發(fā)生器由分頻器來實(shí)現(xiàn),可產(chǎn)生16個(gè)頻率,這些頻率經(jīng)放大后驅(qū)動(dòng)喇叭,從而發(fā)出聲音。【關(guān)鍵詞】FPGA;QuartusII;VHDL;電子琴;音符19目錄第1章引言.31.1課題分析31.2VHDL語言和QUARTUSII環(huán)境簡介31.2.1VHDL簡介31.2.2QuartusII簡介3第2章方案選擇及原理分析42.1方案比較42.2電子琴設(shè)計(jì)原理

3、42.2.1分頻模塊設(shè)計(jì)方法52.2.2按鍵模塊設(shè)計(jì)方法62.2.3頂層模塊設(shè)計(jì)方法...................................................................................................62.3系統(tǒng)設(shè)計(jì)的主要組成部分6第3章硬件設(shè)計(jì)63.1現(xiàn)場可編程門陣列FPGA簡介63.2按鍵模塊及其功能73.3顯示電路模塊及功能7第4章軟件設(shè)計(jì)74.1系統(tǒng)的流程74.2設(shè)計(jì)模塊74.2.1自動(dòng)演奏模塊94.2.2音階發(fā)生器模塊94.2.3數(shù)控分頻模塊10第

4、5章軟硬件的系統(tǒng)測試10結(jié)論10參考文獻(xiàn)11致謝11附錄I歌譜..................................................................................................................................12附錄Ⅱ電路圖...14附錄III程序..1419第一章引言電子琴作為音樂與科技的產(chǎn)物,在電子化和信息化的時(shí)代,為音樂的大眾化做出了很大的貢獻(xiàn),歌曲的制作大多數(shù)都要由電子琴來完成,然后通過媒介流傳開來,電視劇和電影的插曲

5、、電視節(jié)目音效、甚至你的手機(jī)鈴聲,都很可能包含電子琴的身影。1.1課題分析隨著電子技術(shù)的高速發(fā)展,由于FPGA/CPLD具有高速、高可靠性、串并行工作方式等突出優(yōu)點(diǎn),所以在電子設(shè)計(jì)中受到廣泛的應(yīng)用,并且它代表著未來EDA設(shè)計(jì)的方向。FPGA/CPLD的設(shè)計(jì)采用了高級語言,例如VHDL語言,AHDL語言。從而進(jìn)一步打破了軟硬件之間的界限,加速了產(chǎn)品的開發(fā)過程,縮短了設(shè)計(jì)周期。所以采用FPGA/CPLD取代傳統(tǒng)的標(biāo)準(zhǔn)集成電路、接口電路已經(jīng)成為電子技術(shù)發(fā)展的必然趨勢。EDA(電子設(shè)計(jì)自動(dòng)化)代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是:

6、設(shè)計(jì)人員按照“自頂向下”的設(shè)計(jì)方法,對整個(gè)系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片集成電路(FPGA/CPLD)實(shí)現(xiàn)。電子琴是數(shù)字電路中的一個(gè)典型應(yīng)用。在實(shí)際的硬件設(shè)計(jì)中用到的器件非常多,連線比較復(fù)雜,同時(shí)會(huì)產(chǎn)生比較大的延時(shí),從而造成測量誤差較大,可靠性不好。以EDA工具作為開發(fā)手段,運(yùn)用VHDL硬件描述語言將使整個(gè)系統(tǒng)大大簡化,提高了電子琴整體的性能和可靠性。1.2VHDL語言和QUARTUSII環(huán)境簡介1.2.1VHDL語言簡介VHDL是超高速集成電路硬件描述語言,是一種用于電路設(shè)計(jì)的高級語言。它出現(xiàn)于80年代后期,最初

7、是由美國國防部開發(fā)出來的,是為了供美軍用來提高設(shè)計(jì)的可靠性和縮減開發(fā)周期的一種使用范圍較小的設(shè)計(jì)語言。VHDL主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。目前,它在中國的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計(jì)中,同時(shí)也被一些實(shí)力較為雄厚的單位用來設(shè)計(jì)ASIC。VHDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下和基于庫的設(shè)計(jì)特點(diǎn)。其開發(fā)流程:在頂層用方框圖或硬件語言對電路的行為進(jìn)行描述后,進(jìn)行系統(tǒng)仿真驗(yàn)證和糾錯(cuò)。再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網(wǎng)表。然后通過適配器將網(wǎng)表文件配置于指定的目標(biāo)器件,產(chǎn)生最終下載文件或配置文件。最后把

8、適配后生成的下載或配置文件通過編程器或編程電纜下載到具體的FPGA/CPLD器件中去,以便進(jìn)行硬件調(diào)試和驗(yàn)證,而實(shí)現(xiàn)可編程的專用集成電路ASIC的設(shè)計(jì)。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時(shí)聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。