基于fpga的多波形發(fā)生器的設(shè)計(jì)

基于fpga的多波形發(fā)生器的設(shè)計(jì)

ID:22821124

大小:940.58 KB

頁(yè)數(shù):33頁(yè)

時(shí)間:2018-10-31

基于fpga的多波形發(fā)生器的設(shè)計(jì)_第1頁(yè)
基于fpga的多波形發(fā)生器的設(shè)計(jì)_第2頁(yè)
基于fpga的多波形發(fā)生器的設(shè)計(jì)_第3頁(yè)
基于fpga的多波形發(fā)生器的設(shè)計(jì)_第4頁(yè)
基于fpga的多波形發(fā)生器的設(shè)計(jì)_第5頁(yè)
資源描述:

《基于fpga的多波形發(fā)生器的設(shè)計(jì)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫(kù)

1、基于FPGA的多波形信號(hào)發(fā)生器摘要在信號(hào)發(fā)生器的設(shè)計(jì)中,傳統(tǒng)的用分立元件或通用數(shù)字電路元件設(shè)計(jì)電子線路的方法設(shè)計(jì)周期長(zhǎng),花費(fèi)大,可移植性差。木設(shè)計(jì)是利用EDA技術(shù)設(shè)計(jì)的電路,該信號(hào)發(fā)生器輸出信號(hào)的頻率范圍為20Hz?20KHz,幅度的峰-峰值為0.3V?5V兩路信號(hào)之間可實(shí)現(xiàn)0°?359°的相位差。側(cè)重?cái)⑹?用FPGA來(lái)完成直接數(shù)字頻率合成器(DDS)的設(shè)計(jì),DDS由相位累加器和正弦ROM查找表兩個(gè)功能塊組成,其中ROM查找表由兆功能模塊LPM_ROM來(lái)實(shí)現(xiàn)。而通過設(shè)定不同的累加器初值(K1)和初始相位值(K2),可以調(diào)節(jié)兩路相同

2、頻率止弦信號(hào)之間的相位差,從而產(chǎn)生兩路數(shù)字式的頻率、相位和幅值可調(diào)的正弦波信號(hào),最后通過MAX+plusII演示仿真結(jié)果。與傳統(tǒng)的頻率合成方法相比,DDS合成信號(hào)具有頻率切換時(shí)間短、頻率分辨率高、相位變化連續(xù)等諸多優(yōu)點(diǎn)。使用單片機(jī)靈活的控制能力與FPGA器件的高性能、高集成度相結(jié)合,可以克服傳統(tǒng)DDS設(shè)計(jì)中的不足,從而設(shè)計(jì)開發(fā)出性能優(yōu)良的DDS系統(tǒng)。關(guān)鍵詞:?jiǎn)纹瑱C(jī);可編程邏輯門陣列;正弦信號(hào)發(fā)生器;硬件描述語(yǔ)言FPGA-basedmulti-wavesignalgeneratorAbstractInthedesignofthesi

3、gnalgenerator,thetraditionalseparationcomponentsorwithgeneraldigitalcircuitcomponentsofelectroniccircuitdesignmethoddesigncycleislongandcostly,portabilitypoor.ThisdesignisusingEDAtechnologydesignofthecircuit,thissignalgeneratoroutputsignalfrequencyrangefor20Hzac?20KHz

4、,theamplitudeofthepeakpeakof0.3V-5Vtworoadbetween0°signalcanberealized°?359ofthephasedifference.FocusingontheFPGAtocompletewithnarrativedirectdigitalfrequencysynthesizer(DDS)design,byphaseaccumulatorsDDSandsineROMlookuptabletwofunctionblocks,oneofROMlook-uptablebysig

5、nsLPM_ROMfunctionmoduletorealize.Andthroughthesettingoftheinitialvaluedifferentaccumulator(Kl)andinitialphasevalue(K2),canadjustthetworoadthesamefrequencysinesignalofthephasedifferencebetweentworoad,whichcausesthedigitalfrequency,phaseandamplitudeadjustablesinesignals

6、,finallythroughtheMAX+pluslldemosimulationresults.Andthetraditionalsynthesismethodsoffrequency,comparedwithfrequencysignalDDSsynthesisswitchtimeisshort,thehighfrequencyresolutionandphasechangecontinuous,andmanyotheradvantages.UseofflexiblecontrolabilityandchiptheFPGAd

7、eviceofhighperformance,highlevelofintegration,andthecombinationofcanovercometheshortcomingsoftraditionaldesignDDStodesign,developthegoodperformanceofDDSsystem.Keywords:MCU;FPGA;ROMsinewavegenerator;VHDL緒論多波形信號(hào)發(fā)生器的背景在一些電子設(shè)備的電路板故障檢測(cè)儀中,往往需要頻率、幅度都能由計(jì)算機(jī)自動(dòng)調(diào)節(jié)的信號(hào)源。采用諸如MAX038信

8、號(hào)發(fā)生器芯片外加電阻及切換開關(guān)等器件雖然也能調(diào)節(jié)頻率和幅度,但這種調(diào)節(jié)是離散的,且電路復(fù)雜,使用不方便m。而采用直接數(shù)字合成芯片DDS及外加D/A轉(zhuǎn)換芯片構(gòu)成的可控信號(hào)源,可產(chǎn)生正弦波、調(diào)頻波、調(diào)幅波及方波等,并且其信號(hào)的頻率和幅度可由微機(jī)來(lái)精確控

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。