基于cpld的多功能數(shù)字時鐘

基于cpld的多功能數(shù)字時鐘

ID:3143102

大?。?84.47 KB

頁數(shù):25頁

時間:2017-11-19

基于cpld的多功能數(shù)字時鐘_第1頁
基于cpld的多功能數(shù)字時鐘_第2頁
基于cpld的多功能數(shù)字時鐘_第3頁
基于cpld的多功能數(shù)字時鐘_第4頁
基于cpld的多功能數(shù)字時鐘_第5頁
資源描述:

《基于cpld的多功能數(shù)字時鐘》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在學術(shù)論文-天天文庫。

1、前言我們已經(jīng)進入了數(shù)字化和信息化的時代,其特點是各種數(shù)字產(chǎn)品的廣泛應(yīng)用。現(xiàn)代數(shù)字產(chǎn)品在性能提高、復雜度增大的同時,其更新?lián)Q代的步伐也越來越快,實現(xiàn)這種進步的因素在于生產(chǎn)制造技術(shù)和電子設(shè)計技術(shù)的進步。CPLD器件和EDA技術(shù)的出現(xiàn),改變了這種傳統(tǒng)的設(shè)計思路,使人們可以立足于CPLD芯片來實現(xiàn)各種不同的功能,新的設(shè)計方法能夠由設(shè)計者自己定義器件內(nèi)部邏輯和管腳,將原來由電路板設(shè)計完成的工作大部分放在芯片的設(shè)計中進行。這樣不僅可以通過芯片設(shè)計實現(xiàn)各種邏輯功能,而且由于管腳定義的靈活性,減輕了原理圖和印制板設(shè)計的工作量和難度,增加了設(shè)計的自由度,提高了效率。同時這種設(shè)計減少了所需芯

2、片的種類和數(shù)量,縮小了體積,降低了功耗,提高了系統(tǒng)的可靠性。25目錄前言1一、設(shè)計內(nèi)容和要求31.設(shè)計一個數(shù)字鐘,具有計時,校時,鬧鐘和整點報時的功能。3二、實驗環(huán)境41.軟件環(huán)境42.硬件環(huán)境4三、系統(tǒng)設(shè)計方案的確定51.結(jié)構(gòu)框圖52.總體思路51)總體電路圖72)校時模塊83)時鐘模塊104)鬧鐘模塊125)鬧鐘計數(shù)器模塊156)報時模塊177)MODE按鍵模塊17四、仿真與引腳鎖定191.仿真192.引腳鎖定19小結(jié)24參考文獻2525一、設(shè)計內(nèi)容和要求1.設(shè)計一個數(shù)字鐘,具有計時,校時,鬧鐘和整點報時的功能?;疽螅?)計時功能采用24小時方式,顯示小時、分鐘和

3、秒;2)采用雙鍵調(diào)整法,MODE和SET。3)MODE按鍵用于模式選擇,包括時鐘數(shù)碼管模塊顯示。計時模塊數(shù)碼管顯示,包括計時、秒校時、分校時和小時校時;鬧鐘模塊數(shù)碼管顯示,包括鬧鐘校時和校分。4)SET作為校時脈沖;5)結(jié)果用6個數(shù)碼管顯示和一個蜂嚀器;6)用VHDL語言編程實現(xiàn)系統(tǒng)功能;7)在QuartusII環(huán)境中編譯、仿真通過,并得到正確的波形;8)在實驗系統(tǒng)上下載成功并有正確的結(jié)果;9)完成相應(yīng)的實訓報告。25一、實驗環(huán)境1.軟件環(huán)境QuartusⅡQuartusⅡ軟件包是MAX+plusⅡ的升級版本,Altera公司的第四代開發(fā)軟件,該軟件提供了一個完整高效的設(shè)

4、計環(huán)境,非常適應(yīng)具體的設(shè)計需要。QuartusⅡ提供了方便的設(shè)計輸入方式、快速的編譯和直接易懂的器件編程。能夠支持邏輯門數(shù)在百萬門以上的邏輯器件的開發(fā),并且為第三方工具提供了無縫接口。QuartusⅡ軟件包的編程器是系統(tǒng)的核心,提供功能強大的設(shè)計處理,設(shè)計者可以添加特定的約束條件來提高芯片的利用率。2.硬件環(huán)境基于CYCLONEII系列EP2C35F484I8芯片的實驗箱。25一、系統(tǒng)設(shè)計方案的確定1.結(jié)構(gòu)框圖鬧鐘模塊計時模塊校時模塊顯示模塊報時模塊揚聲器圖1數(shù)字鐘結(jié)構(gòu)框圖2.總體思路根據(jù)設(shè)計要求,計時結(jié)果用6個數(shù)碼管分別顯示小時、分鐘和秒,用揚聲器響鈴表示鬧鐘以及整點報

5、時。校時和鬧鐘校時采用雙鍵MODE和SET,前者選擇時鐘模式,后者作為校時脈沖。第一次按下MODE鍵為校時模式,SET鍵校時;第二次按下MODE鍵為校分模式,SET鍵校分;第三次按下MODE鍵為校秒模式,SET鍵校秒;第四次按下MODE鍵為鬧鐘校時模式且數(shù)碼管顯示鬧鐘模式,SET鍵鬧鐘校時;第五次按下MODE鍵為鬧鐘校分模式,SET鍵鬧鐘校分;第六次按下MODE鍵為又回到時鐘顯示模式,SET鍵無效;參考數(shù)字鐘的各個模式圖接口如下圖。251)總體電路圖251)校時模塊25校時模塊用于時間校正。輸入端口有6個:EN:接高電平VCCCLK1HZ:數(shù)字鐘時鐘輸入,用于計時;MOD

6、E:按下MODE鍵選擇時鐘模式,包括計時、秒校時、分校時和小時校時四種;SET:校時脈沖輸入,用于校準時間;S_ENOUT:秒計數(shù)器的進位信號;F_ENOUT:分計數(shù)器的進位信號。輸出端口有3個:CLK:單次數(shù)字時鐘輸出;F_CE:使能輸出;H_CE:使能輸出;圖3校時模塊25模塊程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYJIAOSHIISPORT(EN,CLK1HZ,SET,S_ENOUT,F_ENOUT:INSTD_LOGIC;MODE:INSTD_LOG

7、IC_VECTOR(2DOWNTO0);CLK,S_CE,F_CE,H_CE:outstd_logic);ENDJIAOSHI;ARCHITECTUREbehaveOFJIAOSHIISBEGINPROCESS(CLK1HZ,MODE,SET,S_ENOUT,F_ENOUT,EN)BEGIN25IFMODE="000"THENCLK<=CLK1HZ;F_CE<=S_ENOUT;H_CE<=F_ENOUT;S_CE<=EN;ELSIFMODE="001"THENCLK<=SET;S_CE<='0';F_CE<='0';H_CE<

當前文檔最多預覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學公式或PPT動畫的文件,查看預覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。