基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)

基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)

ID:3154566

大?。?56.86 KB

頁(yè)數(shù):16頁(yè)

時(shí)間:2017-11-20

基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)_第1頁(yè)
基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)_第2頁(yè)
基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)_第3頁(yè)
基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)_第4頁(yè)
基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)_第5頁(yè)
資源描述:

《基于vhdl的數(shù)字頻率計(jì)的設(shè)計(jì)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫(kù)。

1、湖南科技大學(xué)信息與電氣工程學(xué)院《VHDL硬件描述語(yǔ)言課程設(shè)計(jì)報(bào)告》題目:數(shù)字頻率計(jì)專業(yè):通信工程班級(jí):一班姓名:學(xué)號(hào):指導(dǎo)教師:胡仕剛2015年01月04日信息與電氣工程學(xué)院課程設(shè)計(jì)任務(wù)書20—20學(xué)年第學(xué)期專業(yè):學(xué)號(hào):姓名:課程設(shè)計(jì)名稱:設(shè)計(jì)題目:完成期限:自年月日至年月日共周設(shè)計(jì)依據(jù)、要求及主要內(nèi)容(可另加附頁(yè)):指導(dǎo)教師(簽字):批準(zhǔn)日期:年月日目錄摘要1引言2第一章概述21.1設(shè)計(jì)概述21.2課程設(shè)計(jì)的總體目標(biāo)21.3設(shè)計(jì)內(nèi)容21.4設(shè)計(jì)原理21.5設(shè)計(jì)功能3第二章數(shù)字頻率計(jì)系統(tǒng)分析32.1數(shù)字頻率計(jì)的設(shè)計(jì)任務(wù)及要求32.2模塊的劃分32.3設(shè)計(jì)分析4第三章各功能模塊

2、基于VHDL的設(shè)計(jì)43.1時(shí)基產(chǎn)生與測(cè)頻時(shí)序控制電路模塊的VHDL源程序43.2待測(cè)信號(hào)脈沖計(jì)數(shù)電路模塊的VHDL源程序53.2.1十進(jìn)制加法計(jì)數(shù)器的VHDL源程序53.2.2待測(cè)信號(hào)脈沖計(jì)數(shù)器的VHDL源程序63.3鎖存與譯碼顯示控制電路模塊的VHDL源程序73.3.1譯碼顯示電路的VHDL源程序73.3.2鎖存與譯碼顯示控制模塊的VHDL源程序73.4頂層電路的VHDL源程序8第四章數(shù)字頻率計(jì)波形仿真94.1時(shí)基產(chǎn)生與測(cè)頻時(shí)序控制電路模塊的仿真94.2待測(cè)信號(hào)脈沖計(jì)數(shù)電路模塊的仿真104.2.1十進(jìn)制加法計(jì)數(shù)器的仿真104.2.2待測(cè)信號(hào)脈沖計(jì)數(shù)器的仿真104.3鎖存與譯

3、碼顯示控制電路模塊的仿真104.3.1譯碼顯示電路的仿真114.3.2鎖存與譯碼顯示控制模塊的仿真114.4數(shù)字頻率計(jì)系統(tǒng)的仿真11第五章體會(huì)和建議12參考文獻(xiàn):13摘要本文介紹了一種自頂向下分層設(shè)計(jì)多功能數(shù)字頻率計(jì)的設(shè)計(jì)方法。該頻率計(jì)采用VHDL硬件描述語(yǔ)言編程以MAX+PLUSⅡ?yàn)殚_(kāi)發(fā)環(huán)境,極大地減少了硬件資源的占用。數(shù)字頻率計(jì)模塊劃分的設(shè)計(jì)具有相對(duì)獨(dú)立性,可以對(duì)模塊單獨(dú)進(jìn)行設(shè)計(jì)、調(diào)試和修改,縮短了設(shè)計(jì)周期。該數(shù)字頻率計(jì)的測(cè)量范圍為lHz~1MHz,響應(yīng)時(shí)間小于等于15秒;其測(cè)試結(jié)果由4只七段數(shù)碼管穩(wěn)定顯示,測(cè)量誤差小于等于1%。仿真波形與分析結(jié)果表明,所設(shè)計(jì)的電路通過(guò)硬

4、件仿真能夠滿足數(shù)字頻率計(jì)的功能要求,具有理論與實(shí)踐意義,實(shí)現(xiàn)了電子電路自動(dòng)化的過(guò)程。數(shù)字頻率計(jì)模塊劃分的設(shè)計(jì)具有相對(duì)獨(dú)立性,可以對(duì)模塊單獨(dú)進(jìn)行設(shè)計(jì)、調(diào)試和修改,縮短了設(shè)計(jì)周期。關(guān)鍵詞:VHDL數(shù)字頻率計(jì)EDAMAX+PLUSⅡAbstractThispaperpresentsatop-downdesignofmulti-layereddesignmethodofdigitalfrequencymeter.ThefrequencycounterusingVHDLhardwaredescriptionlanguageprogramminginMAX+PLUSⅡforthedeve

5、lopmentenvironment,greatlyreducingthehardwareresourcesoftheoccupation.Digitalfrequencymetermoduledesigndivisionoftherelativeindependenceoftheseparatemodulescandesign,debugandmodify,shortenthedesigncycle.ThedigitalfrequencymetermeasurementrangeoflHz~1MHz,responsetimeof15secondsorless;thetest

6、resultsfromthefourseven-segmentdigitaltubedisplaystability,measurementerrorislessthanequalto1%.Simulationwaveformsandanalysisresultsshowthatthedesignedcircuitsimulationbyhardwaretomeetthefunctionalrequirementsofdigitalfrequencymeterofgreattheoreticalandpracticalsignificanceoftheelectronicci

7、rcuittoachievetheautomatedprocess.Digitalfrequencymetermoduledesigndivisionoftherelativeindependenceoftheseparatemodulescandesign,debugandmodify,shortenthedesigncycle.Keywords:VHDLDigitalfrequencymeterEDAMAX+PLUSⅡ13引言數(shù)字頻率計(jì)是數(shù)字電路中的一個(gè)典型應(yīng)用,實(shí)際的硬件設(shè)計(jì)用到的器件較多,連線比

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。