基于vhdl的數(shù)字鐘設(shè)計(jì)

基于vhdl的數(shù)字鐘設(shè)計(jì)

ID:3154808

大?。?.70 MB

頁(yè)數(shù):18頁(yè)

時(shí)間:2017-11-20

基于vhdl的數(shù)字鐘設(shè)計(jì)_第1頁(yè)
基于vhdl的數(shù)字鐘設(shè)計(jì)_第2頁(yè)
基于vhdl的數(shù)字鐘設(shè)計(jì)_第3頁(yè)
基于vhdl的數(shù)字鐘設(shè)計(jì)_第4頁(yè)
基于vhdl的數(shù)字鐘設(shè)計(jì)_第5頁(yè)
資源描述:

《基于vhdl的數(shù)字鐘設(shè)計(jì)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫(kù)。

1、安徽工業(yè)經(jīng)濟(jì)職業(yè)技術(shù)學(xué)院畢業(yè)論文(設(shè)計(jì))題目:基于VHDL的數(shù)字鐘設(shè)計(jì)系別:電子信息技術(shù)系專(zhuān)業(yè):電子信息工程技術(shù)學(xué)號(hào):201254427學(xué)生姓名:王翀指導(dǎo)教師:王俊職稱(chēng):二〇一四年五月月十三日17【摘要】20世紀(jì)90年代,國(guó)際上電子和計(jì)算機(jī)技術(shù)較為先進(jìn)的國(guó)家,一直在積極探索新的電子電路設(shè)計(jì)方法,并在設(shè)計(jì)方法、工具等方面進(jìn)行了徹底的變革,取得了巨大成功。在電子技術(shù)設(shè)計(jì)領(lǐng)域,可編程邏輯器件(如CPLD、FPGA)的應(yīng)用,已得到廣泛的普及,這些器件為數(shù)字系統(tǒng)的設(shè)計(jì)帶來(lái)了極大的靈活性。EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)領(lǐng)域越來(lái)越普及,本

2、設(shè)計(jì)主要利用VHDL語(yǔ)言在EDA平臺(tái)上設(shè)計(jì)一個(gè)電子數(shù)字鐘,【關(guān)鍵詞】數(shù)字鐘EDAVHDL語(yǔ)言17目錄摘要:.........................................................................................................................1關(guān)鍵詞:……………….............................................................................

3、..............1緒論………………………………………………………………………………....31.設(shè)計(jì)目的..............................................................................................................42.設(shè)計(jì)內(nèi)容.......................................................................................

4、........................43.設(shè)計(jì)原理...............................................................................................................43.1數(shù)字鐘的基本工作原理…………………………………………….….………43.2數(shù)字鐘設(shè)計(jì)的電路原理圖……………………………..………………………6.4.單元模塊的設(shè)計(jì)………………………………………………………………….64.1秒計(jì)數(shù)器的

5、模塊………………………………………………………….……..64.2分計(jì)數(shù)器的模塊…………………………..…………………………….............84.3時(shí)計(jì)數(shù)器的模塊……………………………………..……………………...…104.4整點(diǎn)報(bào)時(shí)器模塊…………………………………………………………….....124.5調(diào)時(shí)調(diào)分模塊………………………..………………………………….……..134.6LED顯示譯碼器模塊…………………………………………..………..…..155.仿真結(jié)果………………………………………………

6、………………………..17.結(jié)語(yǔ).........................................................................................................................17參考文獻(xiàn)...............................................................................................................18緒論EDA是

7、電子設(shè)計(jì)自動(dòng)化(ElcctronicDesignAutomation)的縮寫(xiě),是90年代初從CAD(計(jì)算機(jī)輔助設(shè)備),CAM(計(jì)算機(jī)輔助制造),CAT(計(jì)算機(jī)輔助測(cè)試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來(lái)的。EDA技術(shù)是以計(jì)算機(jī)為工具,根據(jù)硬件描述語(yǔ)言HDL完成的設(shè)計(jì)文件,自動(dòng)的完成邏輯編譯,化簡(jiǎn),分割,綜合及優(yōu)化,布局布線,仿真以及對(duì)特定目標(biāo)芯片的適配編譯和編程下載等工作,這種將設(shè)計(jì)實(shí)體內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。應(yīng)用VHDL進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的。其優(yōu)點(diǎn)是:與其它硬件描述語(yǔ)言相比,VHDL具

8、有更強(qiáng)的行為描述能力,從而解決了他成為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語(yǔ)言,強(qiáng)大的行為描述能力是避開(kāi)具體的器件結(jié)構(gòu),從邏輯行為上描述和設(shè)計(jì)大規(guī)模電子系統(tǒng)的重要保證;VHDL豐富的仿真語(yǔ)句和庫(kù)函數(shù),使得在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)的功能和可行性,及時(shí)可對(duì)設(shè)計(jì)進(jìn)行。它的計(jì)時(shí)周期為24小時(shí),顯示滿(mǎn)刻度為24時(shí)59分59秒,另外還具有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。