modelsim 10仿真教程

modelsim 10仿真教程

ID:40157054

大小:522.31 KB

頁數(shù):8頁

時間:2019-07-23

modelsim 10仿真教程_第1頁
modelsim 10仿真教程_第2頁
modelsim 10仿真教程_第3頁
modelsim 10仿真教程_第4頁
modelsim 10仿真教程_第5頁
資源描述:

《modelsim 10仿真教程》由會員上傳分享,免費在線閱讀,更多相關內(nèi)容在行業(yè)資料-天天文庫。

1、Modelsim仿真教程一、前期準備1、正確安裝好modelsim和quartus軟件。我用的平臺的版本是ModelsimSE10.1和QuartusII10.1。2、在ModelSim中加入Quartus提供的仿真庫在ModelSim中進行仿真需要加入Quartus提供的仿真庫,原因是下面三個方面:·Quartus不支持Testbench;·調(diào)用了megafunction或者lpm庫之類的Altera的函數(shù);·時序仿真要在Modelsim下做仿真。下面以Altera器件為例,介紹如何在ModelSim中加入A

2、ltera的仿真庫,QuartusII軟件中自帶有Altera的仿真庫,只要把它拿到ModelSim中去編譯一下就可以了,具體步驟如下:(1).設置仿真庫路徑打開ModelSim安裝目錄(我用的是ModelSimSE10.1版本,安裝在C:modeltech_10.1目錄下),新建文件夾altera,我們就在該目錄下存放預編譯的各種Altera庫。啟動ModelSimSE,在主窗口執(zhí)行【File】/【ChangeDirectory】命令將路徑轉(zhuǎn)到altera文件夾。(2).新建庫QuartusII中提供的仿真

3、庫文件存放的路徑是....altera10.1sp1quartusedasim_lib,每個庫文件提供了兩種形式:.v(Verilog)格式和.vhd(VHDL)格式兩種,根據(jù)你所用的語言選擇使用。用于編譯資源庫的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primiti

4、ves_components.vhd文件。網(wǎng)上的很多教程都是把這些文件一起編譯,這樣適用于Verilog和VHDL混合仿真,但如果只用一種語言,如Verilog則完全沒必要全部編譯。下面以該目錄下的altera_mf.v為例介紹建立預編譯庫的方法。注:ModelSim中仿真庫可以分為兩大類:第一類是工作庫(working),默認值為“work”目錄,work目錄中包含當前工程下所有被編譯的設計單元,編譯前必須建立一個work庫,并且每個編譯有且僅有一個work庫;第二類是資源庫(resource),存儲能被當前

5、編譯引用的設計單元,在編譯期間允許有多個resource庫,這節(jié)所講的都是添加altera資源庫。在主窗口中選擇【File】/【New】/【Library】命令,新建一個名為altera_mf的庫。(3).編譯庫方便起見在altera文件夾下新建目錄src,把用于編譯資源庫的文件復制到src文件夾中。在主菜單中選【Compile】/【Compile】命令,彈出CompileSourceFiles對話框,library中選擇你剛才建立的庫名altera_mf,查找范圍你選擇altera_mf.v文件,剛才你已經(jīng)把

6、它復制到了...alterasrc目錄下。執(zhí)行編譯命令。繼續(xù)按照步驟2和3中介紹的方法添加剩下的幾個庫。添加完成后如下圖所示。1、(4).配置modelsim.ini文件這一步是為了將前面建立的庫添加進系統(tǒng)庫,以后就不用再重復添加了。ModelSim安裝根目錄下的配置文件modelsim.ini的只讀屬性去掉,用記事本或其他文本編輯程序打開。在[Library]下修改前面添加的庫的路徑。注意修改后關閉并改回只讀屬性。注:第1步設置的仿真庫路徑必須在ModelSim的安裝目錄下才能能夠用此相對路徑。alter

7、a_primitives=$MODEL_TECH/../altera/altera_primitives220model=$MODEL_TECH/../altera/220modelaltera_mf=$MODEL_TECH/../altera/altera_mf2、如果有需要,讀者可以按此方法建其他資源庫。這個所謂的前期準備不是必須的前期準備,大家可以在做具體的仿真工程中再建,只不此方法是是為了把這些新建的庫添加到系統(tǒng)庫中,這樣就不用再在具體仿真的時候重復添加。二、功能仿真實例3、新建一個文件夾用于存放仿真工

8、程。4、執(zhí)行【File】/【ChangeDirectory】命令將工作路徑轉(zhuǎn)到你新建的文件夾下。5、新建一個工程?!綟ile】/【new】/【project】,輸入工程名。按OK后彈出如下對話框,如果你有編寫好的.V文件可以在此添加,或者直接新建文件。4、再這里我選擇新建一個文件counter.v和一個測試文件counter_tb.v代碼如下:5、選擇文件,右鍵/compile/com

當前文檔最多預覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學公式或PPT動畫的文件,查看預覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。