任意波形信號發(fā)生器

任意波形信號發(fā)生器

ID:42103179

大?。?.88 MB

頁數(shù):41頁

時間:2019-09-08

任意波形信號發(fā)生器_第1頁
任意波形信號發(fā)生器_第2頁
任意波形信號發(fā)生器_第3頁
任意波形信號發(fā)生器_第4頁
任意波形信號發(fā)生器_第5頁
資源描述:

《任意波形信號發(fā)生器》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫

1、目錄一、題目要求及分析11.1題目要求11.2題目分析1二、任意波形信號發(fā)生器方案設(shè)計32.1系統(tǒng)設(shè)計框圖與思路32.2系統(tǒng)設(shè)計原理圖52.3相關(guān)芯片介紹6三、相關(guān)模塊具體程序?qū)崿F(xiàn)10四、仿真及實際結(jié)果與分析164.1波形選擇及仿真結(jié)杲164.2波形選擇及實際結(jié)杲184.3結(jié)果分析與相關(guān)問題解決23五、總結(jié)與體會24參考文獻(xiàn)25附錄26題目要求及分析1?1題目要求任意波形信號發(fā)生器利用FPGA器件產(chǎn)生控制信號及數(shù)據(jù)信號,經(jīng)DAC0832和TL082轉(zhuǎn)換產(chǎn)生以下波形:1)正斜率斜波;2)正弦波;3)鋸齒波;4)任意波形。用

2、示波器觀察輸岀波形。硬件電路內(nèi)容和要求:用DAC0832實現(xiàn)數(shù)模轉(zhuǎn)換電路,用TLC082實現(xiàn)電流一電壓轉(zhuǎn)換電路,畫出電路原理圖。軟件設(shè)計內(nèi)容和要求:VHDL編程實現(xiàn)任意波形的信號控制器。要求可以用開關(guān)切換不同的波形數(shù)據(jù)輸出。/擴(kuò)展:增加衰減控制信號,通過開關(guān)控制衰減倍數(shù),并在數(shù)碼管顯示。1.2題目分析VHDL語言是隨著集成電路系統(tǒng)化和高度集成化的發(fā)展而逐步發(fā)展起來的,是一種用于數(shù)字系統(tǒng)的設(shè)計和測試的硬件描述語言。相比傳統(tǒng)的電路系統(tǒng)的設(shè)計方法,VIIDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下和基于庫的設(shè)計的特點,因

3、此設(shè)計者可以不必了解硬件結(jié)構(gòu)。從系統(tǒng)設(shè)計入手,在頂層進(jìn)行系統(tǒng)方框圖的劃分和結(jié)構(gòu)設(shè)計,在方框圖一級用VHDL對電路的行為進(jìn)行描述,并進(jìn)行仿真和糾錯,然后在系統(tǒng)一級進(jìn)行驗證,最后再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網(wǎng)表,下載到具體的CPLD器件中去,從而實現(xiàn)可編程的專用集成電路(ASIC)的設(shè)計。在本次課程設(shè)計中,函數(shù)發(fā)生器的設(shè)計采用自頂向下的系統(tǒng)設(shè)計的方法,通過MAX+plusII開發(fā)環(huán)境進(jìn)行編輯、綜合、波形仿真,并下載到CPLD器件中,采用模塊化的設(shè)計,對功能的修改和增加,只要修改VIIDL源程序,而不必更改硬件

4、電路。實現(xiàn)數(shù)字系統(tǒng)硬件的軟件化。任意信號發(fā)生器體現(xiàn)在它能選擇輸出四個波形,即正斜率斜波、正弦波、鋸齒波、任意波形;述可以改變波形的某些表征參量,從而控制輸出的波形。其主要問題是波形的選擇和準(zhǔn)備與輸岀的模擬信號波形相對應(yīng)的數(shù)字信號,前者可以通過外接開關(guān)從而選擇輸出什么樣的波形,后者可以通過建立相應(yīng)波形的數(shù)字信號模塊得到,然后建立一個信號,用來保存所采點的數(shù)據(jù),最后把該信號送給ADC0832的輸入數(shù)據(jù)端口就可以了。這兩個主要問題當(dāng)然在做的過程中還需要考慮到數(shù)據(jù)的選擇、位寬的大小、管腳的取舍等問題。二、任意波形信號發(fā)生器方案設(shè)

5、計函數(shù)信號發(fā)生器的實現(xiàn)方法通常有以下兒種:(1)用分立元件組成的函數(shù)發(fā)生器:通常是單函數(shù)發(fā)生器且頻率不高,其工作不很穩(wěn)定,不易調(diào)試。(2)可以由晶體管、運放IC等通用器件制作,更多的則是用專門的函數(shù)信號發(fā)生器TC產(chǎn)生。早期的函數(shù)信號發(fā)生器IC,如L8038、BA205、XR2207/2209等,它們的功能較少,精度不高,頻率上限只有300kHz,無法產(chǎn)生更高頻率的信號,調(diào)節(jié)方式也不夠靈活,頻率和占空比不能獨立調(diào)節(jié),二者互相影響。(3)利用單片F(xiàn)PGA集成芯片的函數(shù)發(fā)生器:它能產(chǎn)生多種波形,達(dá)到較高的頻率,且易于調(diào)試。鑒于

6、此,很多公司開發(fā)了系列FPGA芯片,它們克服了(2)中芯片的缺點,可以達(dá)到更高的技術(shù)指標(biāo),是上述芯片望塵莫及的。乂由于其較高的性價比,他們成為制作任意波形信號發(fā)生器的首選。(4)利用專用直接數(shù)字合成DDS芯片的函數(shù)發(fā)生器:能產(chǎn)生任意波形并達(dá)到很高的頻率。但成本較高。2.1系統(tǒng)設(shè)計框圖與思路1.系統(tǒng)的設(shè)計框圖利用電子設(shè)計自動化(EDA)技術(shù)設(shè)計的波形信號發(fā)牛器,與傳統(tǒng)的信號發(fā)牛器相比,具有高穩(wěn)定度、高精度、高分辨率的優(yōu)點。該項目利用Alter公司的可編程邏輯器件EPF10K10,采用EDA技術(shù),實現(xiàn)可通過改變時鐘頻率來調(diào)制

7、頻率、幅值的多種常用信號。根據(jù)設(shè)計要求,多波形信號發(fā)生器主要由5部分組成,即波形數(shù)據(jù)產(chǎn)生器、波形信號控制器、輸出信號寄存器、數(shù)/模轉(zhuǎn)換電路、低通濾波電路。在時鐘信號的作用下,通過波形信號產(chǎn)生器產(chǎn)生各種波形數(shù)據(jù),再由信號控制器通過選擇信號要輸出的波形及衰減檔位,并用數(shù)碼管顯示衰減倍數(shù),經(jīng)數(shù)/模轉(zhuǎn)換電路、低通濾波電路,最終輸岀所需波形信號。另外,輸出波形幅度的調(diào)節(jié)還可通過改變A/D轉(zhuǎn)換芯片電阻網(wǎng)絡(luò)的基準(zhǔn)電壓實現(xiàn)。函數(shù)發(fā)生器有波形選擇開關(guān)控制波形的輸出,分別能輸出正斜率斜波、鋸齒波、正弦波、方波,及任意組合波形,考慮程序的容量

8、,每種波形在一個周期內(nèi)均的取樣點不能太多。系統(tǒng)的設(shè)計框圖如下圖2.1所示:選擇信號時鐘信號輸岀衰減顯示圖2.1系統(tǒng)設(shè)計框圖1.函數(shù)發(fā)生器的設(shè)計思路本次課程設(shè)計采用FPGA作為中心控制邏輯,由于其具有高速和邏輯單元數(shù)多的特點,因此可以由FPGA、DAC和I/V運放直接構(gòu)成信號源發(fā)生器的最小系統(tǒng)。在該方案中通過FPGA控

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。