基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】

基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】

ID:463192

大小:25.07 KB

頁數(shù):4頁

時間:2017-08-05

基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】_第1頁
基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】_第2頁
基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】_第3頁
基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】_第4頁
資源描述:

《基于FPGA的數(shù)字密碼鎖設(shè)計(jì)【開題報(bào)告】》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、畢業(yè)論文開題報(bào)告電氣工程及其自動化基于FPGA的數(shù)字密碼鎖控制設(shè)計(jì)一、課題研究意義及現(xiàn)狀隨著人們生活水平的提高和安全意識的加強(qiáng),對安全的要求也就越來越高。鎖自古以來就是把守護(hù)門的鐵將軍,既要安全可靠的防盜,又要使用方便,這也是制鎖者長期以來研制的主題。隨著電子技術(shù)的發(fā)展,各類電子產(chǎn)品應(yīng)運(yùn)而生,數(shù)字密碼鎖就是其中之一。目前使用的數(shù)字密碼鎖大部分是基于單片機(jī)技術(shù),以單片機(jī)為主要器件,其編碼器與解碼器的生成為軟件方式?;诂F(xiàn)場可編程邏輯門陣列FPGA器件的電子密碼鎖,用FPGA器件構(gòu)造系統(tǒng),使得系統(tǒng)的工作可靠性大為提

2、高。由于FPGA具有現(xiàn)場可編程功能,當(dāng)設(shè)計(jì)需要更改時,只需更改FPGA中的控制和接口電路,利用EDA工具將更新后的設(shè)計(jì)下載到FPGA中即可,無需更改外部電路的設(shè)計(jì),大大提高了設(shè)計(jì)的效率。當(dāng)前,數(shù)字應(yīng)用系統(tǒng)設(shè)計(jì)向小型化、快速化、大容量、重量輕的方向發(fā)展。FPGA是英文FieldProgrammableGateArray的縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。FPGA的結(jié)構(gòu)一般分為三部分:可編程邏輯模塊、可編程I/O模塊和可編程內(nèi)部互連區(qū)IR。CLB的功能很強(qiáng)

3、,不僅能夠?qū)崿F(xiàn)邏輯函數(shù),還可以配置成RAM等復(fù)雜的形式?;赟RAM的FPGA器件工作前需要從芯片外部加載配置數(shù)據(jù)。配置數(shù)據(jù)可以存儲在片外的EPROM或者計(jì)算機(jī)上,設(shè)計(jì)人員可以控制加載過程,在現(xiàn)場修改器件的邏輯功能,即所謂現(xiàn)場可編程[1]。FPGA出現(xiàn)后受到電子設(shè)計(jì)工程師的普遍歡迎,發(fā)展十分迅速。二、課題研究的主要內(nèi)容和預(yù)期目標(biāo)1.主要內(nèi)容本次課題,主要研究的是如何利用FPGA技術(shù)設(shè)計(jì)一個數(shù)字密碼鎖的主控模塊,該模塊主要能實(shí)現(xiàn)如下幾個功能:密碼的存儲、數(shù)字顯示、密碼驗(yàn)證功能、報(bào)警功能和顯示功能。完成軟件編程的同

4、時,對FPGA開發(fā)板進(jìn)行調(diào)試,實(shí)現(xiàn)功能的仿真顯示。2.預(yù)期目標(biāo)1)預(yù)期設(shè)計(jì)一個日常使用的數(shù)字密碼鎖,利用FPGA軟件編程實(shí)現(xiàn)數(shù)字密碼鎖的主控模塊。主控模塊設(shè)計(jì)中主要是設(shè)計(jì)密碼的存儲、數(shù)字顯示、密碼驗(yàn)證功能、報(bào)警功能和顯示功能,其中密碼存儲模塊是它的密碼規(guī)定電路,其功能有兩個:一個是將存儲的密碼輸出到比較模塊中與外部輸入的數(shù)字進(jìn)行比較操作,另一個是存儲用戶修改后的密碼。密碼驗(yàn)證功能,當(dāng)輸入的數(shù)字符合電路中設(shè)定的數(shù)字時(即密碼對),顯示“0”表示輸入密碼正確,如果輸入的密碼是錯誤的,則顯示“F”。并且該數(shù)字密碼鎖僅

5、能允許接收三次錯誤的密碼數(shù)字輸入,超過三次的錯誤密碼數(shù)字后,電路不再接收密碼數(shù)字輸入,并觸發(fā)報(bào)警功能,用喇叭發(fā)出報(bào)警音響??谾PGA譯碼按鍵顯示聲光顯示密碼存儲器圖1數(shù)字密碼鎖主要構(gòu)成框圖2)可靠性、安全性等方面的考慮.由于數(shù)字鎖的密鑰量(密碼量)極大,可以與機(jī)械鎖配合使用,并且可以避免因鑰匙被仿制而留下安全隱患。數(shù)字鎖只需記住一組密碼,免除了人們攜帶金屬鑰匙的煩惱,而被越來越多的人所欣賞。數(shù)字密碼鎖的優(yōu)點(diǎn):不用鑰匙,不用電源,不用電池,沒有廢棄污染物;全機(jī)械結(jié)構(gòu),能承受相當(dāng)惡劣的外部環(huán)境,使用范圍廣;操作簡單

6、,開鎖時間比較短,平均開鎖時間約15秒;實(shí)際密碼量大保密性能高,試探開鎖的概率幾乎為零;結(jié)構(gòu)簡單,結(jié)實(shí)可靠。三、課題研究的方法及措施1.研究方法首先要對數(shù)字密碼鎖和FPGA進(jìn)行了解,這需要查閱一定的資料,清楚數(shù)字密碼鎖的原理,各項(xiàng)功能的實(shí)現(xiàn),F(xiàn)PGA的硬件功能和其內(nèi)部的邏輯功能。因?yàn)楸敬窝芯客瓿傻闹饕菍?shù)字密碼鎖的主控模塊進(jìn)行編程,其功能模塊主要分成分頻模塊、同步消抖模塊、使能電路模塊、反相電路模塊、密碼存儲模塊、編碼模塊、比較模塊、計(jì)數(shù)選擇模塊、數(shù)碼管譯碼模塊、指示電路模塊和控制器模塊,那么要根據(jù)相關(guān)的資料

7、對這些模塊進(jìn)行編譯。編譯通過后,先用軟件進(jìn)行仿真,查看其結(jié)果。如果仿真通過后,我設(shè)想是把程序下到FPGA開發(fā)板中,通過對按鍵和顯示的設(shè)置,并用幾次不同的輸入密碼情況來驗(yàn)證程序的正確性,到達(dá)預(yù)期的效果。2.研究措施1)收集查閱關(guān)于數(shù)字密碼鎖的相關(guān)資料,對FPGA的應(yīng)用設(shè)計(jì)情況進(jìn)行了熟悉了解;了解不同的設(shè)計(jì)實(shí)現(xiàn)方法,比較現(xiàn)有的相關(guān)方案,確定本課題研究和實(shí)現(xiàn)方案,然后對方案中的各單元進(jìn)行了必要的分析和研究。2)對所研究的數(shù)字密碼鎖的功能進(jìn)行分類,具體分成密碼的存儲、數(shù)字顯示、密碼驗(yàn)證功能、報(bào)警功能和顯示功能,并對各個

8、功能進(jìn)行軟件編程。3)對各個功能模塊進(jìn)行具體功能分類,研究各功能之間的關(guān)系,制成圖表,舉一反三。然后對各個功能模塊進(jìn)行軟件編譯。4)完成了編程以后,要利用軟件的仿真功能對編完的程序進(jìn)行仿真,通過仿真得到的變化來初步判斷程序是否滿足預(yù)先所要求的功能。5)通過軟件功能仿真后,根據(jù)結(jié)果進(jìn)行適當(dāng)調(diào)整和軟件調(diào)試,需要記錄過程和研究成果以及心得體會。6)如果有時間,我會在FPGA開發(fā)板上接上語音的

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。