基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告

基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告

ID:47412817

大?。?.05 MB

頁數(shù):47頁

時(shí)間:2020-01-10

基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告_第1頁
基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告_第2頁
基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告_第3頁
基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告_第4頁
基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告_第5頁
資源描述:

《基于VHDL數(shù)字鐘的設(shè)計(jì)與分析報(bào)告》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫

1、....通信工程專業(yè)課程設(shè)計(jì)Ⅱ任務(wù)書院(系)電信工程系專業(yè)班級(jí)通信工程專業(yè)071班學(xué)生姓名王飛一、課程設(shè)計(jì)Ⅱ題目數(shù)字鐘的設(shè)計(jì)二、課程設(shè)計(jì)Ⅱ工作自2010年3月1日起至2010年3月19日止三、課程設(shè)計(jì)Ⅱ進(jìn)行地點(diǎn):電信工程系實(shí)驗(yàn)室四、課程設(shè)計(jì)Ⅱ的內(nèi)容要求:設(shè)計(jì)一個(gè)數(shù)字時(shí)鐘,要求顯示時(shí)(2位),分(2位),秒(2位),具體要求是:具有時(shí)分秒計(jì)數(shù)顯示功能,以24小時(shí)循環(huán)計(jì)時(shí);數(shù)碼管動(dòng)態(tài)顯示時(shí),分,秒;具有清零,調(diào)節(jié)小時(shí),分鐘,以及整點(diǎn)報(bào)時(shí)指導(dǎo)教師系(教研室)通信工程教研室接受任務(wù)開始執(zhí)行日期2010年3月1日

2、學(xué)生簽名.word資料可編輯.....基于VHDL的數(shù)字鐘的設(shè)計(jì)與分析魏麗娟(陜西理工學(xué)院電信工程系通信071班,陜西漢中723003)指導(dǎo)教師:魏瑞[摘要]隨著基于CPLD的EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息,通信,自動(dòng)控制用計(jì)算機(jī)等領(lǐng)域的重要性日益突出。作為一個(gè)學(xué)習(xí)通信工程專業(yè)的學(xué)生,我們必須不斷的了解更多的新產(chǎn)品信息,這就更加要求我們對EDA有個(gè)全面認(rèn)識(shí)。本程序設(shè)計(jì)的是基于VHDL的數(shù)字時(shí)鐘,采用EDA作為開發(fā)工具,VHDL語言為硬件描述語言,QUARTUSII作為程序的

3、運(yùn)行平臺(tái),所開發(fā)的程序經(jīng)過調(diào)試運(yùn)行,波形仿真驗(yàn)證,初步實(shí)現(xiàn)了設(shè)計(jì)目標(biāo)。本程序使用的硬件描述語言VHDL,可以大大降低了硬件數(shù)字系統(tǒng)設(shè)計(jì)的入門級(jí)別,讓人感覺就是C語言的親近。通過本次的課程設(shè)計(jì),不但使同學(xué)們進(jìn)一步了解EDA技術(shù)在實(shí)際中的應(yīng)用,也使同學(xué)們對EDA的綜合應(yīng)用有了更深一步的應(yīng)用,這次的課程設(shè)計(jì)給同學(xué)們以后的學(xué)習(xí)與工作中有了一個(gè)全面的指導(dǎo)作用.[關(guān)鍵詞]EDA技術(shù),VHDL語言,數(shù)字時(shí)鐘,報(bào)警器,譯碼顯示,時(shí)間調(diào)節(jié)[中圖分類號(hào)]TN702[文獻(xiàn)標(biāo)志碼]A.word資料可編輯.....Designo

4、fcymometerdisplayedbyLCDWeilijuan(Grade07,Class1,MajorofCommunicationEngineering,Dept.ofE.I.ofShaanxiUniversityofTechnology,Hanzhong723003,China)Tutor:WeiRui[Abstract]WiththeCPLD-basedEDAtechnologyandexpansionofapplicationfieldsandin-depth,EDAtechnologyi

5、nelectronicinformation,communication,automaticcontrolareassuchastheimportanceofcomputerisincreasinglyprominent.Asalearningcommunicationsengineeringstudents,wemustcontinuallylearnmoreaboutnewproductinformation,itisevenmorerequiresustohaveafullunderstandin

6、goftheEDA.TheprogramdesignisbasedonVHDLdigitalclock,usingEDAasadevelopmenttool,VHDLlanguageforhardwaredescriptionlanguage,QUARTUSIIasaprogramrunningplatform,developedbytheprogramtorunthroughthedebugging,waveformsimulation,preliminarydesigngoalsachieved.T

7、hisprogramusesthehardwaredescriptionlanguageVHDL,cangreatlyreducethehardwareoftheentry-leveldigitalsystemdesign,peoplefeelthatisclosertoClanguage.Throughthiscurriculumdesign,notonlythestudentsabetterunderstandingofEDAtechnologyinpracticalapplication,buta

8、lsosothatstudentshaveacomprehensiveapplicationofEDAdeeperapplications,thiscoursedesignedforstudentstostudyandworkafterChinahasacomprehensiveguide.[Keywords]EDATechnologyVHDLlanguageDigitalClockAlarmDecodedisplayTimeDebuggi

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時(shí)聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。