基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)

基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)

ID:47659223

大小:868.88 KB

頁數(shù):62頁

時間:2019-10-18

基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)_第1頁
基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)_第2頁
基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)_第3頁
基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)_第4頁
基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)_第5頁
資源描述:

《基于VHDL語言及FPGA的等精度頻率計(jì)設(shè)計(jì)》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫。

1、第一章緒論隨著基于PLD的EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、通信、自動控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出。EDA技術(shù)使得設(shè)計(jì)者的工作僅限于利用軟件的方式就可以完成對系統(tǒng)硬件功能的實(shí)現(xiàn)。而等精度的頻率計(jì)設(shè)計(jì)正是利用了EDA技術(shù)的這一優(yōu)越性。1.1EDA技術(shù)現(xiàn)代電子設(shè)計(jì)技術(shù)的核心是EDA(ElectronicDesignAutomation)技術(shù)。EDA技術(shù)就是依賴功能強(qiáng)大的計(jì)算機(jī),在EDA工具軟件平臺上,對以便件描述語言HDL(Ha「dwareDesignLanguage)為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)

2、文件,并自動地完成邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合、結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。EDA技術(shù)使得設(shè)計(jì)者的工作僅限于軟件的方式,即利用硬件描述語言和EDA軟件來完成對系統(tǒng)硬件功能的實(shí)現(xiàn)。EDA技術(shù)在碩件實(shí)現(xiàn)方面融合了大規(guī)模集成電路制造技術(shù)、IC版圖設(shè)計(jì)技術(shù)、ASIC測試和封裝技術(shù)、FPGA/CPLD編程下載技術(shù)、自動測試技術(shù)等;在計(jì)算機(jī)輔助工程方面融合了計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測試(CAT)、計(jì)算機(jī)輔助工程(CAE)技術(shù)譯介多種計(jì)算機(jī)語言的設(shè)

3、計(jì)概念;而在現(xiàn)代電子學(xué)方面則容納了更多的內(nèi)容,如電子線路設(shè)計(jì)理論、數(shù)字信號處理技術(shù)、數(shù)字系統(tǒng)建設(shè)模和優(yōu)化技術(shù)及長線技術(shù)理論等。因此EDA技術(shù)為現(xiàn)代電子理論和設(shè)計(jì)的表達(dá)與實(shí)現(xiàn)提供了可能性。在現(xiàn)代化技術(shù)的所有領(lǐng)域種,得以飛速發(fā)展的科學(xué)技術(shù)多為計(jì)算機(jī)輔助設(shè)計(jì),而非自動化設(shè)計(jì)。顯然,最早進(jìn)入設(shè)計(jì)自動化的技術(shù)領(lǐng)域之一是電子技術(shù),這就是為什么電子技術(shù)始終處于所有科學(xué)技術(shù)發(fā)展最前列的原因之一。不難理解,EDA技術(shù)已不是某一學(xué)科的分支,或某種新的技能技術(shù),它應(yīng)該是一門綜合性學(xué)科。它融合多學(xué)科于一體,又滲透于各學(xué)科Z中,打破了軟件和硬件簡的壁壘,使

4、計(jì)算機(jī)的軟件技術(shù)于硬件實(shí)現(xiàn)、設(shè)計(jì)效率和產(chǎn)品性能合二為一,它代表了電子設(shè)計(jì)技術(shù)合應(yīng)用技術(shù)的發(fā)展方向。對于頻率測量所提出的要求,取決于所測頻率范圍和測量任務(wù)。主要有直讀法、比較法、計(jì)數(shù)法。直讀法乂稱利用無源網(wǎng)絡(luò)頻率特性測頻法,它包含有電橋法和諧振法。比較法是將被測頻率信號與已知頻率信號相比較,通過觀、聽比較結(jié)果,獲得被測信號的頻率。屬比較法的有:拍頻法、差頻法、示波法。計(jì)數(shù)法又電容充電式和電子計(jì)數(shù)式兩種。前者是利用電子電路控制電容器充放電的次數(shù),再用磁電式儀表測量充、放電電流的大小,從而指示出被測信號的頻率值。后者是根據(jù)頻率的定義進(jìn)行

5、測量的一種方法,他是用電子計(jì)數(shù)器顯示單位時間內(nèi)通過被測信號的周期個數(shù)來實(shí)現(xiàn)頻率的測量。常用的直接測頻方法主要有測頻法和測周期法兩種。測頻法就是在確定的閘門時間Tw內(nèi),記錄被測信號的變化周期數(shù)(或脈沖個數(shù))Nx,則被測信號的頻率為:fx=Nx/Two測周期法需要有標(biāo)準(zhǔn)信號的頻率fs,在待測信號的一個周期Tx內(nèi),記錄標(biāo)準(zhǔn)頻率的周期數(shù)Ns,則被測信號的頻率為:fx二fs/Ns。這兩種方法的計(jì)數(shù)值會產(chǎn)生±1個字誤差,并口測試精度與計(jì)數(shù)器中記錄的數(shù)值Nx或Ns有關(guān)。為了保證測試精度,一般對于低頻信號采用測周期法;對于高頻信號采用測頻法,因此

6、測試時很不方便,所以人門提出等精度測頻方法。1.3本系統(tǒng)目的和任務(wù)a)、課題總體目的1、熟悉FPGA器件的結(jié)構(gòu)及工作原理2、掌握電路破件描述方法(原理圖描述、VHDL語言描述)3、熟悉數(shù)字頻率計(jì)的功能特點(diǎn)及工作原理。4、學(xué)會利用EDA開發(fā)軟件及裝置實(shí)現(xiàn)電路設(shè)計(jì)、編程、仿真及下載。b)、等精度頻率計(jì)的設(shè)計(jì)要求功能基于傳統(tǒng)測頻原理的頻率計(jì)的測量精度將隨被測信號頻率的下降而降低,即測量精度隨被測信號的頻率的變化而變化,在實(shí)用中有較大的局限性,而等精度頻率計(jì)不但具有較高的測量精度,且在整個頻率區(qū)域能保持恒定的測試精度。在此完成的設(shè)計(jì)項(xiàng)目可

7、達(dá)到的指標(biāo)為:1.頻率測量a.測量范圍信號:方波、正弦波;幅度:0.5V?5V;頻率:0.1Hz?10MHzb.測量誤差<0.001%2.脈沖寬度測量乳測量范圍信號:脈沖波;幅度:0?5V?5V;脈沖寬度Ips—Isb?測量精度WO.lus3?測量并顯示周期脈沖信號(幅度0.5V?5V、頻率1Hz?1kHz)的占空比,占空比變化范圍為10%?90%,測量誤差W1%。4.顯不器十進(jìn)制數(shù)字顯示,顯示刷新時間為5秒的輪流顯示或固定顯示,可轉(zhuǎn)換。5.具有自校功能,吋標(biāo)信號頻率為1MM。6.自行設(shè)計(jì)滿足木設(shè)計(jì)任務(wù)要求的穩(wěn)壓電源。7.在以上測

8、量范圍以及測量誤差條件下,進(jìn)行小信號的頻率測量,提出并實(shí)現(xiàn)抗干擾的措施。第二章設(shè)計(jì)工具和器件介紹1.1VHDL及匯編語言簡介2.1.1VHDL簡介VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareD

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。