IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪

IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪

ID:68864464

大?。?27.00 KB

頁(yè)數(shù):18頁(yè)

時(shí)間:2021-10-22

IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第1頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第2頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第3頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第4頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第5頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第6頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第7頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第8頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第9頁(yè)
IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪_第10頁(yè)
資源描述:

《IGBT驅(qū)動(dòng)電路的設(shè)計(jì)周豪》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在應(yīng)用文檔-天天文庫(kù)。

1、--摘要近年來(lái),交流電機(jī)變頻調(diào)速及其相關(guān)技術(shù)的研究己成為現(xiàn)代電氣傳領(lǐng)域的一個(gè)重要課題,隨著新的電力電子器件和微處理器的推出以及交流電機(jī)控制理論的發(fā)展,交流變頻調(diào)速技術(shù)還將會(huì)取得巨大進(jìn)步。本文對(duì)變頻調(diào)速理論,逆變技術(shù),幾種PWM產(chǎn)生原理進(jìn)行了研究,在此基礎(chǔ)上設(shè)計(jì)了一種新型數(shù)字化變壓變頻調(diào)速系統(tǒng),以8051控制專用集成芯片為核心,采用IGBT作為主功率器件,同時(shí)采用TLP250構(gòu)成IGBT的驅(qū)動(dòng)電路,整流電路采用二極管,可使功率因數(shù)接近1,并且只用一級(jí)可控的功率環(huán)節(jié),電路結(jié)構(gòu)比較簡(jiǎn)單。本文在控制上采用恒f/V控制,同時(shí),軟件程序使得參數(shù)的

2、輸入和變頻器運(yùn)行方式的改變極為方便,新型集成元件的采用也使得它的開(kāi)發(fā)周期短。關(guān)鍵詞:變壓變頻;8051;IGBT;恒f/VⅠ-.可修編.--AbstractInrecentyears,researchhasACMotorandrelatedtechnologiesbeeanimportanttopicinthefieldofmodernelectricaltransmission,alongwiththedevelopmentofnewpowerelectronicdevicesandmicroprocessoraswellasthe

3、launchofACmotorcontroltheory,ACvariablespeedtechnologywillalsomadegreatprogress.Inthispaper,thetheoryoffrequencycontrol,invertertechnology,severalPWMgenerationprinciplewerestudiedbasedonthisdesignofanewdigitalvariablevoltagevariablefrequencycontrolsystem,inordertocontro

4、lspecificintegratedchip8051core,usingIGBTasthemainpowerdevices,whileusingtheIGBTdrivingcircuitconstitutedTLP250,arectifyingcircuitusingadiode,allowsthepowerfactorcloseto1,andonlypartofthepowerlevelcontrolled,thecircuitconfigurationisrelativelysimple.Inthispaper,theconst

5、antf/Vcontrolinthecontrol,whilethesoftwareprogramenableschangingtheinputparametersandtheoperatingmodeisextremelyeasytodrive,thenewintegratedelementsalsomakesuseofitsshortdevelopmentcycle.Keywords:VVVF;8051;IGBT;constantf/V-.可修編.--Ⅱ目錄摘要...................................

6、......................................................................ⅠAbstract..................................................................................................Ⅱ1.設(shè)計(jì)任務(wù)和要求...................................................................................

7、11.1要求...............................................................................................11.2設(shè)計(jì)容........................................................................................12.變壓變頻調(diào)速系統(tǒng)組成及分析..........................................................

8、.32.1主電路與驅(qū)動(dòng)電路-.可修編.--...........................................................................32.2信號(hào)采集與故障綜合電

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。