功能仿真和時(shí)序仿真

功能仿真和時(shí)序仿真

ID:8831217

大小:294.00 KB

頁數(shù):9頁

時(shí)間:2018-04-08

功能仿真和時(shí)序仿真_第1頁
功能仿真和時(shí)序仿真_第2頁
功能仿真和時(shí)序仿真_第3頁
功能仿真和時(shí)序仿真_第4頁
功能仿真和時(shí)序仿真_第5頁
資源描述:

《功能仿真和時(shí)序仿真》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在應(yīng)用文檔-天天文庫。

1、功能仿真和時(shí)序仿真章節(jié):第3章第2節(jié)3.2功能仿真與時(shí)序仿真3.2.1概述  仿真過程是正確實(shí)現(xiàn)設(shè)計(jì)的關(guān)鍵環(huán)節(jié),用來驗(yàn)證設(shè)計(jì)者的設(shè)計(jì)思想是否正確,及在設(shè)計(jì)實(shí)現(xiàn)過程中各種分布參數(shù)引入后,其設(shè)計(jì)的功能是否依然正確無誤。仿真主要分為功能仿真和時(shí)序仿真。功能仿真是在設(shè)計(jì)輸入后進(jìn)行;時(shí)序仿真是在邏輯綜合后或布局布線后進(jìn)行?!?.功能仿真(前仿真)  功能仿真是指在一個(gè)設(shè)計(jì)中,在設(shè)計(jì)實(shí)現(xiàn)前對所創(chuàng)建的邏輯進(jìn)行的驗(yàn)證其功能是否正確的過程。布局布線以前的仿真都稱作功能仿真,它包括綜合前仿真(Pre-SynthesisSimulatio

2、n)和綜合后仿真(Post-SynthesisSimulation)。綜合前仿真主要針對基于原理框圖的設(shè)計(jì);綜合后仿真既適合原理圖設(shè)計(jì),也適合基于HDL語言的設(shè)計(jì)?!?.時(shí)序仿真(后仿真)  時(shí)序仿真使用布局布線后器件給出的模塊和連線的延時(shí)信息,在最壞的情況下對電路的行為作出實(shí)際地估價(jià)。時(shí)序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激勵(lì)也是相同的;惟一的差別是為時(shí)序仿真加載到仿真器的設(shè)計(jì)包括基于實(shí)際布局布線設(shè)計(jì)的最壞情況的布局布線延時(shí),并且在仿真結(jié)果波形圖中,時(shí)序仿真后的信號加載了時(shí)延,而功能仿真沒

3、有。3.2.2仿真工具 1.ModelSim總體概覽  ModelSim仿真工具是工業(yè)上最流行、最通用的仿真器之一,可支持Verilog、VHDL或是VHDL/Verilog混合輸入的仿真,它的OEM版本允許Verilog仿真或VHDL仿真。Model技術(shù)公司共開發(fā)了ModelSim/VHDL和ModelSim/Verilog兩種ModelSim產(chǎn)品,但它又分為不同的版本:OEM版本——ModelSim/LNL支持Verilog或者VHDL,但是不同時(shí)支持;ModelSim/PLUS版本支持混合仿真Verilog和V

4、HDL;ModelSim/SE版本支持PLUS的所有功能連同附加功能。1)ModelSim的仿真實(shí)現(xiàn)方式  (1)交互式的命令行(Cmd)的方式——惟一的界面是控制臺的命令行,    沒有用戶界面?! ?2)用戶界面UI的方式——可以接受菜單輸入和命令行輸入的仿真方式?! ?3)批處理模式——從DOS或UNIX命令行運(yùn)行批處理文件的仿真方式。2)ModelSim基本仿真步驟 ?。?)建立數(shù)據(jù)庫。 ?。?)映射數(shù)據(jù)庫到物理層目錄?! 。?)編譯源代碼——所有的HDL代碼必須被編譯;Verilog和VHDL     必須

5、有不同的編譯器支持?! 。?)啟動(dòng)仿真器,執(zhí)行仿真。也可以從其他軟件上直接調(diào)用,啟動(dòng)內(nèi)     嵌的仿真器執(zhí)行仿真。3)ModelSim的用戶界面  ModelSim仿真器有9個(gè)窗口:窗口(main)、結(jié)構(gòu)窗口(structure)、源窗口(source)、信號窗口(signals)、處理窗口(process)、變量窗口(variables)、數(shù)據(jù)流窗口(dataflow)、波形窗口(wave)和列表窗口(list)。這些窗口可以通過主窗口中的視窗(View)菜單來打開。由于大部分窗口只是對設(shè)計(jì)仿真起一個(gè)輔助的作用,

6、不是經(jīng)常用到的,因此下面主要介紹的是main主窗口和wave波形窗口。ModelSim的完整用戶界面如圖3-6所示。4)ModelSim窗口模塊介紹  Main主窗口——在主窗口中,可以通過ModelSim>提示符來瀏覽幫助文件、編輯庫、編輯源代碼,而不用調(diào)用一個(gè)設(shè)計(jì)。啟動(dòng)窗口DesignMenu→LoadNewDesign可用于選擇要加載的設(shè)計(jì)和其他用于仿真的選項(xiàng)。  Wave窗口——在波形窗口中,可通過波形瀏覽仿真結(jié)果的圖形記錄。多個(gè)波形窗口可用于更多的邏輯信號觀察;可改變信號和向量的數(shù)量,以改善波形的顯示;可打

7、印波形等?! tructure窗口——可實(shí)現(xiàn)設(shè)計(jì)的結(jié)構(gòu)多層瀏覽,使源窗口(Source)和信號窗口(Signals)等成為當(dāng)前層。  Source窗口——可從Structure窗口選擇,進(jìn)行注釋、關(guān)鍵字、字符串、數(shù)字、執(zhí)行行、標(biāo)識符、系統(tǒng)任務(wù)、文本等完全的編輯;顯示所選的HDL項(xiàng)的信息;檢查顯示所選HDL項(xiàng)當(dāng)前仿真值?! rocess窗口——顯示外部和內(nèi)部的處理功能?! ignals窗口——緊接結(jié)構(gòu)窗口(Structure),顯示Structure窗口的當(dāng)前層HDL項(xiàng)的名稱和值等?! ataflow窗口——V

8、HDL信號或Verilog信號網(wǎng)絡(luò)的圖形描繪?! ist窗口——用表格顯示仿真結(jié)果?! ariables窗口——列出HDL項(xiàng)的名稱,顯示到當(dāng)前過程的路徑等?!                D3-6ModelSim完整用戶界面3.2.3ModelSim仿真過程  前面是對ModelSim的一個(gè)整體介紹,下面將通過一個(gè)具體實(shí)例來介紹Model

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會顯示錯(cuò)亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時(shí)聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。