基于vhdl的數(shù)字頻率計

基于vhdl的數(shù)字頻率計

ID:15986069

大?。?31.60 KB

頁數(shù):20頁

時間:2018-08-07

基于vhdl的數(shù)字頻率計_第1頁
基于vhdl的數(shù)字頻率計_第2頁
基于vhdl的數(shù)字頻率計_第3頁
基于vhdl的數(shù)字頻率計_第4頁
基于vhdl的數(shù)字頻率計_第5頁
資源描述:

《基于vhdl的數(shù)字頻率計》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫。

1、《電子技術(shù)課程設(shè)計》任務(wù)書1.課程設(shè)計的內(nèi)容和要求(包括原始數(shù)據(jù)、技術(shù)要求、工作要求等):一、題目基于VHDL的數(shù)字頻率計的設(shè)計二、數(shù)字頻率計功能該數(shù)字頻率計的測量范圍為1~9999kHz,并能用4位十進(jìn)制數(shù)字顯示測量結(jié)果。三、設(shè)計要求1.詳細(xì)說明設(shè)計方案;2.用VHDL編寫設(shè)計程序;3.給出系統(tǒng)仿真結(jié)果;4.進(jìn)行硬件驗證。四、參考文獻(xiàn)1.潘松等.EDA技術(shù)實用教程.2.盧毅,賴杰.VHDL與數(shù)字電路設(shè)計.3.徐志軍,徐光輝.CPLD/FPGA的開發(fā)與應(yīng)用.4.林明權(quán).VHDL數(shù)字控制系統(tǒng)設(shè)計范例5.http://www.fp

2、ga.com.cn2.對課程設(shè)計成果的要求〔包括圖表(或?qū)嵨铮┑扔布蟆常涸O(shè)計電路,安裝調(diào)試或仿真,分析實驗結(jié)果,并寫出設(shè)計說明書,語言流暢簡潔,文字不得少于3500字。3.主要參考文獻(xiàn):l要求按國標(biāo)GB7714—87《文后參考文獻(xiàn)著錄規(guī)則》書寫。4.課程設(shè)計工作進(jìn)度計劃:序號起迄日期工作內(nèi)容12011.1.3—1.5查閱文獻(xiàn),收集資料。22011.1.6—1.9確定系統(tǒng)設(shè)計方案,用VHDL編寫設(shè)計程序。32011.1.10—1.12系統(tǒng)仿真和調(diào)試,分析實驗結(jié)果。42011.1.13—1.15撰寫設(shè)計說明書。主指導(dǎo)教師日期:

3、2011年1月3日基于VHDL的數(shù)字頻率計摘要:本設(shè)計分為5個模塊:頂層文件程序,十進(jìn)制計數(shù)器模塊,4位鎖存器模塊,控制模塊,譯碼顯示模塊。數(shù)字頻率計是數(shù)字電路中的一個典型應(yīng)用,實際的硬件設(shè)計用到的器件較多,連線比較復(fù)雜,而且會產(chǎn)生比較大的延時,造成測量誤差、可靠性差。隨著復(fù)雜可編程邏輯器件(CPLD)的廣泛應(yīng)用,以EDA工具作為開發(fā)手段,運(yùn)用VHDL語言。將使整個系統(tǒng)大大簡化。提高整體的性能和可靠性。關(guān)鍵詞:頻率,VHDL,模塊。目錄0.前言11.系統(tǒng)設(shè)計11.1設(shè)計要求11.1.1設(shè)計目的11.1.2設(shè)計內(nèi)容及要求11.2

4、總體設(shè)計方案11.2.1設(shè)計原理11.2.2設(shè)計框圖21.2.3設(shè)計模塊說明22.程序設(shè)計32.1頂層文件32.2十進(jìn)制計數(shù)模塊32.34位鎖存器模塊32.4控制模塊32.5譯碼顯示模塊43.系統(tǒng)仿真44.結(jié)論55.心得體會5參考文獻(xiàn)6附錄各模塊源程序7-2-南華大學(xué)電氣工程學(xué)院電力專業(yè)2010~2011學(xué)年上學(xué)期課程設(shè)計電氣工程及其自動化081班黃鈺20084450102-3-南華大學(xué)電氣工程學(xué)院電力專業(yè)2010~2011學(xué)年上學(xué)期課程設(shè)計電氣工程及其自動化081班黃鈺200844501020.前言隨著時代科技的迅猛發(fā)展,微

5、電子學(xué)和計算機(jī)等現(xiàn)代電子技術(shù)的成就給傳統(tǒng)的電子測量與儀器帶來了巨大的沖擊和革命性的影響。常規(guī)的測試儀器儀表和控制裝置被更先進(jìn)的智能儀器所取代,使得傳統(tǒng)的電子測量儀器在遠(yuǎn)離、功能、精度及自動化水平定方面發(fā)生了巨大變化,并相應(yīng)的出現(xiàn)了各種各樣的智能儀器控制系統(tǒng),使得科學(xué)實驗和應(yīng)用工程的自動化程度得以顯著提高。數(shù)字頻率計在電子技術(shù)中扮演著一個重要的角色,因此數(shù)字頻率計是一種最基本的測量儀器,它被廣泛應(yīng)用于航天、電子、測控等領(lǐng)域,許多測量方案和測量結(jié)果都與頻率有著十分密切的關(guān)系,因此頻率的測量在電子產(chǎn)品的研究與生產(chǎn)中顯得尤為重要。1.

6、系統(tǒng)設(shè)計1.1設(shè)計要求1.1.1設(shè)計目的(1)掌握數(shù)字頻率計的原理、功能、設(shè)計與調(diào)試方法;(2)熟悉QuartusII的使用方法,學(xué)習(xí)用VHDL語言編寫程序。1.1.2設(shè)計內(nèi)容及要求(1)設(shè)計數(shù)字頻率計的測量范圍為1~9999kHz,并能用4位十進(jìn)制數(shù)字顯示測量結(jié)果;(2)詳細(xì)說明設(shè)計方案;(3)用VHDL編寫設(shè)計程序;(4)給出系統(tǒng)仿真結(jié)果;(5)進(jìn)行硬件驗證。1.2總體設(shè)計方案1.2.1設(shè)計原理頻率計的基本原理是用一個頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時鐘,對比測量其他信號的頻率。測頻法就是在確定的閘門時間Tw內(nèi),記錄被測信號的

7、變化周期數(shù)(或脈沖個數(shù))Nx,則被是信號的頻率為fx=Nx/Tw。通常情況下計算每秒內(nèi)待測信號的脈沖個數(shù),即閘門時間為1s。閘門時間越長,得到的頻率值就越準(zhǔn)確,南華大學(xué)電氣工程學(xué)院電力專業(yè)2010~2011學(xué)年上學(xué)期課程設(shè)計電氣工程及其自動化081班黃鈺20084450102但閘門時間越長,則每測一次頻率的間隔就越長。閘門時間越短,測得的頻率值刷新就越快,但測得的頻率精度就受影響。一般取1s作為閘門時間。原理圖:原理圖1.2.2設(shè)計框圖基準(zhǔn)時鐘復(fù)位信號分頻十進(jìn)制計數(shù)器數(shù)據(jù)鎖存動態(tài)顯示譯碼顯示FPGA設(shè)計框圖說明:當(dāng)系統(tǒng)正常工作

8、時,脈沖發(fā)生器提供的1Hz的輸入信號,經(jīng)過測頻控制信號發(fā)生器進(jìn)行信號的變換,產(chǎn)生計數(shù)信號,被測信號通過信號整形電路產(chǎn)生同頻率的矩形波,送入計數(shù)模塊,計數(shù)模塊對輸入的矩形波進(jìn)行計數(shù),將計數(shù)結(jié)果送入鎖存器中,保證系統(tǒng)可以穩(wěn)定顯示數(shù)據(jù),顯示譯碼驅(qū)動電路將二進(jìn)制表示的計數(shù)結(jié)果轉(zhuǎn)換成相

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。