多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc

多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc

ID:55573593

大?。?2.00 KB

頁數(shù):19頁

時間:2020-05-18

多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc_第1頁
多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc_第2頁
多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc_第3頁
多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc_第4頁
多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc_第5頁
資源描述:

《多功能數(shù)字鐘課程設計VHDL代碼書上程序改.doc》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫

1、libraryieee;useieee.std_logic_1164.all;entityclockisport(clk1hz:instd_logic;--1hz脈沖--clk100:instd_logic;--100hz脈沖--weekclk:instd_logic;--星期調(diào)整脈沖--start_stop:instd_logic;--秒表啟動/停止控制--reset:instd_logic;--秒表復位--adclk:instd_logic;--校時脈沖--setselect:instd_lo

2、gic;--調(diào)整位選擇脈沖--mode:instd_logic;--功能選擇脈沖--showdate:instd_logic;--日期顯示--dis:outstd_logic_vector(23downto0);--顯示輸出--glisten:outstd_logic_vector(5downto0);--閃爍指示--weekout:outstd_logic_vector(3downto0);--星期輸出--qh:outstd_logic--整點報時--);endclock;architectur

3、earchofclockiscomponentadjustport(adclk:instd_logic;data_in:outstd_logic_vector(7downto0));endcomponent;componentcontrolport(setclk:instd_logic;setlap:outstd_logic_vector(1downto0);mode:instd_logic;module:outstd_logic_vector(2downto0));endcomponent;co

4、mponentweekcounterport(clk:instd_logic;clk2:instd_logic;q:outstd_logic_vector(3downto0));endcomponent;componentstopwatchport(clk:instd_logic;reset:instd_logic;start_stop:instd_logic;centsec:outstd_logic_vector(7downto0);sec:outstd_logic_vector(7downto

5、0);min:outstd_logic_vector(7downto0));endcomponent;componenth_m_s_countport(clk:instd_logic;set:instd_logic;setlap:instd_logic_vector(1downto0);d:instd_logic_vector(7downto0);sec:outstd_logic_vector(7downto0);min:outstd_logic_vector(7downto0);hour:out

6、std_logic_vector(7downto0);qh:outstd_logic;qc:outstd_logic);endcomponent;componenty_m_d_countport(clk:instd_logic;set:instd_logic;setlap:instd_logic_vector(1downto0);data_in:instd_logic_vector(7downto0);day:outstd_logic_vector(7downto0);month:outstd_l

7、ogic_vector(7downto0);year:outstd_logic_vector(7downto0));endcomponent;componentdisplayport(module:instd_logic_vector(2downto0);showdate:instd_logic;clk:instd_logic;setlap:instd_logic_vector(1downto0);watch:instd_logic_vector(23downto0);time:instd_log

8、ic_vector(23downto0);date:instd_logic_vector(23downto0);dis:outstd_logic_vector(23downto0);glisten:outstd_logic_vector(5downto0));endcomponent;signaldata_in,mcentsec,msec,mmin,ssec,smin,shour,sdate,smonth,syear:std_logic_vector(7downto0);signa

當前文檔最多預覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學公式或PPT動畫的文件,查看預覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。