數(shù)字鐘VHDL程序

數(shù)字鐘VHDL程序

ID:47517676

大?。?07.51 KB

頁數(shù):12頁

時間:2020-01-12

數(shù)字鐘VHDL程序_第1頁
數(shù)字鐘VHDL程序_第2頁
數(shù)字鐘VHDL程序_第3頁
數(shù)字鐘VHDL程序_第4頁
數(shù)字鐘VHDL程序_第5頁
資源描述:

《數(shù)字鐘VHDL程序》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、大連理工大學(xué)本科實驗報告題目:數(shù)字鐘的VHDL設(shè)計課程名稱:數(shù)字電路課程設(shè)計學(xué)院(系):電子信息與電氣工程學(xué)部專業(yè):電子信息工程班級:學(xué)生姓名:學(xué)號:完成日期:成績:2013年12月15日12數(shù)字鐘的VHDL設(shè)計1.設(shè)計任務(wù)及要求:設(shè)計任務(wù):設(shè)計一臺能顯示時、分、秒的數(shù)字鐘。具體要求如下:(1)設(shè)計一個數(shù)字鐘,能夠顯示當(dāng)前時間,分別用6個數(shù)碼管顯示小時、分鐘、秒鐘的時間,秒針的計數(shù)頻率為1Hz,可由系統(tǒng)脈沖分頻得到。(2)在整點進行提示,可通過LED閃爍實現(xiàn),閃爍頻率及花型可自己設(shè)計。(3)能夠調(diào)整小時和分鐘的時間,調(diào)整的形式為通過按鍵進行累加。(4)具有鬧鐘功能,鬧鐘

2、時間可以任意設(shè)定(設(shè)定的形式同樣為通過按鍵累加),并且在設(shè)定的時間能夠進行提示,提示同樣可以由LED閃爍實現(xiàn)設(shè)計要求:(1)編寫設(shè)計報告,要求包括方案選擇、程序代碼清單、調(diào)試過程、測試結(jié)果及心得體會。2.設(shè)計原理時顯示器秒顯示器分顯示器時譯碼器秒譯碼器分譯碼器報時時計數(shù)器分計數(shù)器秒計數(shù)器校時電路1HZ分頻器振蕩器圖1數(shù)字鐘的系統(tǒng)框圖該系統(tǒng)由振蕩器、分頻器、“時、分、秒”計數(shù)器、譯碼器及顯示器、校時電路、整點報時電路等組成。石英晶體振蕩器和分頻器產(chǎn)生整個系統(tǒng)的時基信號,它直接決定計時系統(tǒng)的精度。“秒計數(shù)器”采用六十進制計數(shù)器,每累計60秒向“分計數(shù)器”進位;“分計數(shù)器”采

3、用六十進制計數(shù)器,每累計60分向“時計數(shù)器”進位;“時計數(shù)器”采用二十四進制計數(shù)器,按照“24翻1”規(guī)律計數(shù)?!皶r、分、秒”計數(shù)器的輸出經(jīng)譯碼器送顯示器顯示。校時電路用來當(dāng)計時出現(xiàn)誤差時對“時、分、秒”進行校對調(diào)整。整點報時電路是根據(jù)計時系統(tǒng)的輸出狀態(tài)產(chǎn)生一脈沖信號,然后去觸發(fā)音頻發(fā)生器實現(xiàn)報時。123.設(shè)計過程3.1.設(shè)計思路時鐘脈沖信號作為數(shù)字鐘的時間基準(zhǔn),再經(jīng)分頻器輸出標(biāo)準(zhǔn)秒脈沖。秒計數(shù)器計滿60后向分計數(shù)器進位,分計數(shù)器計滿60后向小時計數(shù)器進位,小時計數(shù)器是計滿24后,系統(tǒng)自動復(fù)位重新開始計數(shù)。計數(shù)器的輸出經(jīng)譯碼電路后送到顯示器顯示。可以用校時電路進行校時。整

4、點報時電路在每小時的最后50秒開始報時間隔一秒報一次時直至下一小時開始。3.2.數(shù)字鐘的設(shè)計方案數(shù)字鐘的設(shè)計包括編碼模塊、分頻模塊、秒計時模塊、分計時模塊、小時計時模塊、鬧鐘模塊和報時模塊。該數(shù)字鐘可以實現(xiàn)3個功能:計時功能、報時功能、鬧鈴和設(shè)置時間功能。3.2.1.編碼模塊編碼模塊主要是對時、分、秒的設(shè)置輸入。3.2.2.分頻模塊在數(shù)字鐘的設(shè)計中,外部輸入時鐘信號clk1的頻率為50Mhz,其分頻后的頻率為clk,使其分頻結(jié)果為1hz,用來提供給秒計時模塊、分計時模塊、小時計時模塊。3.2.3.秒計時模塊將“秒計時脈沖”clk接信號源單元的1HZ脈沖信號,此時秒顯示將

5、從00計時到59,然后回到00,重新計時。在秒位進行計時的過程中。秒計時器是由一個60進制的計數(shù)器構(gòu)成的,具有置數(shù)和計數(shù)功能。其中reset為置數(shù)信號,當(dāng)reset為1時,秒計時器置數(shù)。clk為驅(qū)動秒計時器的時鐘,sec2、sec1為秒計時器的高位和低位輸出。3.2.4.分計時模塊分計時電路:將“分計時脈沖”clk接信號源單元的c1脈沖信號,此時分顯示將從00計時到59,然后回到00,重新計時。在分位進行計時的過程中。分計時器是由一個60進制的計數(shù)器構(gòu)成的,具有置數(shù)和計數(shù)功能。其中rese為置數(shù)信號,當(dāng)reset為1時,分計時器置數(shù)。fen4、fen3為分計時器的高位和

6、低位輸出。3.2.5.小時計時模塊將“小時計時脈沖”clk接信號源單元的c2脈沖信號,此時小時顯示將從00計時到23,然后回到00,重新計時。時計時器是由一個24進制的計數(shù)器構(gòu)成的,具有置數(shù)和計數(shù)功能。其中的reset為置數(shù)信號,當(dāng)reset為1時,時計時器置數(shù)。shi6、shi5為時計時器的高位和低位輸出。3.2.6.報時模塊當(dāng)分位到59時,秒位計到51秒、53秒、55秒、57秒、59秒時報時一次,而后小時位加1。3.2.7.VHDL引腳分配圖124.源程序4.1.數(shù)字鐘整體程序整個程序分為六個部分,分別為分頻部分、校時部分、秒部分、分部分、小時部分和報時部分。4.2

7、.VHDL程序及波形分析4.2.1.VHDL程序libraryieee;--count60useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycount60isport(clk:instd_logic;reset:instd_logic;set:instd_logic;inc:instd_logic;out1:outstd_logic_vector(3downto0);12out2:outstd_logic_vector(3downto0);c:outstd_lo

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。