基于fpga的偽隨機(jī)碼研究

基于fpga的偽隨機(jī)碼研究

ID:1261150

大?。?87.50 KB

頁數(shù):39頁

時間:2017-11-09

基于fpga的偽隨機(jī)碼研究_第1頁
基于fpga的偽隨機(jī)碼研究_第2頁
基于fpga的偽隨機(jī)碼研究_第3頁
基于fpga的偽隨機(jī)碼研究_第4頁
基于fpga的偽隨機(jī)碼研究_第5頁
資源描述:

《基于fpga的偽隨機(jī)碼研究》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫。

1、本科畢業(yè)設(shè)計第39頁共39頁1緒論近年來,以FPGA為代表的可編程ASIC器件發(fā)展極快,從最初的數(shù)百個門發(fā)展到現(xiàn)今的數(shù)百萬個門,系列品種增多,功能更強(qiáng),速度更快,功耗更小,價格更低。因此,愈來愈受到電子界的青睞,開發(fā)基于FPGA的數(shù)字集成系統(tǒng)設(shè)計的新技術(shù)方法將逐漸成為主流。FPGA技術(shù)發(fā)展出現(xiàn)幾個新動向:一是在FPGA中嵌人處理器(包括CPU、微處理器、微控制器);二是高速并行I/O口;三是實現(xiàn)數(shù)字信號處理功能。針對以上動態(tài),本課題通過對FPGA技術(shù)的研究,對在FPGA中實現(xiàn)偽隨機(jī)序列發(fā)生器做了有益的研究與實踐,設(shè)計中充分運(yùn)用了自上而下、結(jié)構(gòu)化、模塊化設(shè)計思想。偽隨機(jī)序列具有良好的

2、隨機(jī)性,它的相關(guān)函數(shù)接近白噪聲的相關(guān)函數(shù)(函數(shù)),即有窄的高峰或?qū)挼墓β首V密度,使它易于從其他信號或干擾中分離出來。偽隨機(jī)序列的偽隨機(jī)性表現(xiàn)在:預(yù)先的可確定性、可重復(fù)性,使它易于實現(xiàn)相關(guān)接收和匹配接收,故有良好的抗干擾性能。偽隨機(jī)序列(又稱偽噪聲序列)廣泛應(yīng)用于通信、雷達(dá)、導(dǎo)航等重要的技術(shù)領(lǐng)域。近年來,在自動控制、計算機(jī)、聲學(xué)、光學(xué)測量、數(shù)字式跟蹤和測距系統(tǒng),以及數(shù)字網(wǎng)絡(luò)系統(tǒng)的故障分析檢測也得到廣泛的應(yīng)用。1.1課題背景在現(xiàn)代工程實踐中,偽隨機(jī)信號在移動通信、導(dǎo)航、雷達(dá)和保密通信、通信系統(tǒng)性能的測量等領(lǐng)域中有著廣泛的應(yīng)用。例如,在連續(xù)波雷達(dá)中可用作測距信號,在遙控系統(tǒng)中可用作遙控信

3、號,在多址通信中可用作地址信號,在擴(kuò)頻通信系統(tǒng)中,抗干擾、抗噪聲、抗截獲、信息數(shù)據(jù)隱蔽和保密、抗衰落、多址通信、實現(xiàn)同步與捕獲等都與擴(kuò)頻碼的設(shè)計密切相關(guān)。在數(shù)字通信中可用作群同步信號,還可用作噪聲源以及在保密通信中的加密作用等。偽隨機(jī)發(fā)生器在測距、通信等領(lǐng)域的應(yīng)用日益受到人們重視。EDA(電子設(shè)計自動化)技術(shù)就是以計算機(jī)為工具,在EDA軟件平臺上,對以硬件描述語言VHDL為系統(tǒng)邏輯描述手段完成的設(shè)計文件,自動的完成邏輯編譯、邏輯化簡、邏輯綜合及優(yōu)化、邏輯仿真,直至對特定目標(biāo)芯片的適配編譯、邏輯映像和編程下載等工作(本文選用的開發(fā)工具為Altera公司的QuartusⅡ)。EDA的仿

4、真測試技術(shù)只需要通過計算機(jī)就能對所設(shè)計的電子系統(tǒng)從各種不同層次的系統(tǒng)性能特點(diǎn)完成一系列準(zhǔn)確的測試與仿真操作,大大提高了大規(guī)模系統(tǒng)電子設(shè)計的自動化程度。設(shè)計者的工作僅限于利用軟本科畢業(yè)設(shè)計第39頁共39頁件方式,即利用硬件描述語言(如VHDL)來完成對系統(tǒng)硬件功能的描述,在EDA工具的幫助下就可以得到最后的設(shè)計結(jié)果,這使得對整個硬件系統(tǒng)的設(shè)計和修改過程如同完成軟件設(shè)計一樣方便、高效?;贓DA技術(shù)的設(shè)計方法為“自頂向下”設(shè)計,其步驟是采用可完全獨(dú)立于目標(biāo)器件芯片物理結(jié)構(gòu)的硬件描述語言,在系統(tǒng)的基本功能或行為級上對設(shè)計的產(chǎn)品進(jìn)行行為描述和定義,結(jié)合多層次的仿真技術(shù),在確保設(shè)計的可行性與

5、正確性的前提下成功確認(rèn)。然后利用EDA工具的邏輯綜合功能,把功能描述轉(zhuǎn)換為某一具體目標(biāo)芯片的網(wǎng)表文件,經(jīng)編程器下載到可編程目標(biāo)芯片F(xiàn)PGA芯片中,使該芯片能夠?qū)崿F(xiàn)設(shè)計要求的功能。這樣,一塊芯片就是一個數(shù)字電路系統(tǒng)。隨著可編程邏輯器件(FPGA)在電子領(lǐng)域越來越廣泛的應(yīng)用,在很多高速設(shè)計和高速測試的場合下,我們希望能夠在FPGA中直接實現(xiàn)偽隨機(jī)序列發(fā)生器。傳統(tǒng)的大數(shù)乘法產(chǎn)生偽隨機(jī)數(shù)的方法不但時鐘頻率不能太高,并且需要消耗FPGA內(nèi)大量的邏輯資源。本文將給出在FPGA內(nèi)利用線性反饋移位寄存器(LinearFeedbackShiftRegisters)結(jié)構(gòu)實現(xiàn)偽隨機(jī)序列發(fā)生器的方法;這種

6、方法不僅結(jié)構(gòu)簡單,易于實現(xiàn),而且所產(chǎn)生的偽隨機(jī)序列具有周期長,隨機(jī)特性好的特點(diǎn)。本科畢業(yè)設(shè)計第39頁共39頁2偽隨機(jī)序列簡述2.1 偽隨機(jī)序列偽隨機(jī)碼,又稱偽隨機(jī)序列,是一種可以預(yù)先確定并可以重復(fù)地產(chǎn)生和復(fù)制,又具有隨機(jī)統(tǒng)計特性的二進(jìn)制碼序列。偽隨機(jī)序列的特性,一般情況下為:⑴信號必須具有尖銳的自相關(guān)函數(shù),且互相關(guān)函數(shù)應(yīng)接近于零。⑵有足夠長的碼周期和盡可能大的復(fù)雜度,以確??箓善?、抗干擾的要求。⑶有足夠多的獨(dú)立地址數(shù),以滿足碼分多址的要求。⑷具有近似噪聲的頻譜,即近似連續(xù)譜且均勻分布。⑸工程上易于產(chǎn)生、加工、復(fù)制和控制。通常采用的二電平(0,1)偽隨機(jī)序列的結(jié)構(gòu)已預(yù)先確定,但它們都

7、具有類似白噪聲的相關(guān)特性,只是幅度概率分布不再服從高斯分布。偽隨機(jī)序列通常具有類似于隨機(jī)序列的性質(zhì),歸納起來有下列三點(diǎn):⑴平衡特性:每一周期內(nèi)0和1出現(xiàn)的次數(shù)近似相等。⑵游程特性:把隨機(jī)序列中連續(xù)出現(xiàn)0或1的子序列稱為游程。連續(xù)的0或1的個數(shù)稱為游程長度。隨機(jī)序列中長度為1的游程約占游程總數(shù)的1/2,長度為2的游程約占游程總數(shù)的1/4,長度為3的游程約占游程總數(shù)的1/8,即每周期內(nèi),長度為n比特的游程出現(xiàn)的次數(shù)比長度為n+l比特游程出現(xiàn)的次數(shù)多一倍。⑶相關(guān)特性:隨機(jī)序

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。