畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)

畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)

ID:13023826

大?。?10.50 KB

頁數(shù):46頁

時間:2018-07-20

畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)_第1頁
畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)_第2頁
畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)_第3頁
畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)_第4頁
畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)_第5頁
資源描述:

《畢業(yè)論文-基于vhdl的等精度頻率計設(shè)計與實現(xiàn)》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫。

1、畢業(yè)設(shè)計(論文)基于VHDL的等精度頻率計設(shè)計與實現(xiàn)DesignandRealizationoftheAccurateCymometerBasedonVHDL:張興宇:電氣與信息學(xué)院:電子信息工程:0443:倪虹霞:副教授:2008年6月20日學(xué)生姓名所在院系所學(xué)專業(yè)所在班級指導(dǎo)教師教師職稱完成時間長春工程學(xué)院長春工程學(xué)院畢業(yè)設(shè)計(論文)摘要基于傳統(tǒng)測頻原理的頻率計的測量精度將隨被測信號頻率的下降而降低,在實用中有較大的局限性,本設(shè)計采用單片機AT89C51作為主要的控制單元,用來完成電路的信號測試控制、數(shù)據(jù)運算處理、鍵盤掃描和控制數(shù)碼管顯示等功能,待測信號經(jīng)過LM358放大后

2、又經(jīng)過74HC14形成系統(tǒng)需要的矩形波,然后送入復(fù)雜可編程邏輯器件(CPLD),最后由可編程邏輯器件CPLD進行各種時序控制及計數(shù)測頻功能,并用8位8段LED進行顯示。關(guān)鍵詞單片機可編程邏輯器件頻率計長春工程學(xué)院畢業(yè)設(shè)計(論文)AbstractBasedonthetraditionalprincipleofmeasuringthefrequencyofthefrequencyofmeasurementaccuracywillbetestedwiththefrequencyandreducethedeclineinthemorepracticallimitations.SCMAT

3、89C51usethisdesignasthemaincontrolunit,thesignalsusedtocompletethecircuittestcontrol,dataprocessing,keyboardscanninganddigitalcontroloftheshow,andotherfunctions,undertestsignalLM358Largerthenaftera74HC14systemneedsRectangularwaves,andthenintothecomplexprogrammablelogicdevices(CPLD),programma

4、blelogicdevicesbytheendCPLDvariouscontrolandtimingcountfrequencymeasurementfunctions,andwitheight8oftheLEDdisplay.Keywords:SCMCCPLDCymometer長春工程學(xué)院畢業(yè)設(shè)計(論文)目錄1引言11.1課題分析11.2等精度頻率計在國內(nèi)外發(fā)展概況11.3Max+PlusII簡介及VHDL語言簡介21.4課題要求42等精度頻率計的方案選擇及原理分析52.1等精度頻率計測頻原理52.2系統(tǒng)原理框圖62.3周期測量62.4脈沖寬度測量72.5周期脈沖信號占空比的

5、測量73等精度頻率計硬件設(shè)計83.1鍵盤控制模塊83.2顯示模塊83.3主控模塊93.4信號輸入放大和整形模塊113.5音頻輸出電路123.6CPLD功能模塊描述134等精度頻率計軟件設(shè)計方案144.1VHDL語言144.2VHDL軟件設(shè)計方案154.3所需VHDL文件及波形仿真結(jié)果154.4單片機的匯編語言編程175電路系統(tǒng)調(diào)試21II長春工程學(xué)院畢業(yè)設(shè)計(論文)6結(jié)論22致謝24附錄一:元器件清單25附錄二:程序清單26附錄三:原理圖36II長春工程學(xué)院畢業(yè)設(shè)計(論文)1引言1.1課題分析在現(xiàn)代電子系統(tǒng)中,數(shù)字系統(tǒng)所占的比例越來越大。系統(tǒng)發(fā)展的趨勢是數(shù)字化和集成化,CPLD

6、作為可編程ASIC(專用集成電路)器件,它將在數(shù)字邏輯系統(tǒng)中發(fā)揮越來越重要的作用。而數(shù)字頻率計是計算機、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測量儀器。數(shù)字頻率計是數(shù)字電路中的一個典型應(yīng)用,實際的硬件設(shè)計用到的器件較多,連線比較復(fù)雜,而且會產(chǎn)生較大的延時,造成測量誤差、可靠性差。隨著可編程邏輯器件(CPLD)的廣泛應(yīng)用,以EDA工具為開發(fā)平臺,利用VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,超高速集成電路硬件描述語言)工業(yè)標準硬件描述語言,采用自頂向下(ToptoDown)和基于庫(Libra

7、ry-based)的設(shè)計,設(shè)計者不但可以不必了解硬件結(jié)構(gòu)設(shè)計,而且將使系統(tǒng)大大簡化,提高整體的性能和可靠性。本課題正是利用EDA技術(shù),基于單片機和CPLD設(shè)計實現(xiàn)頻率計,這使設(shè)計過程大大簡化,縮短了開發(fā)周期,減小了電路系統(tǒng)的體積,同時也有利于保證頻率計較高的精度和較好的可靠性,本設(shè)計具有結(jié)構(gòu)簡單,成本低廉,開發(fā)周期短的特點。1.2等精度頻率計在國內(nèi)外發(fā)展概況目前發(fā)達國家在電子產(chǎn)品開發(fā)中EDA工具的利用率已達50%,而大部分的ASIC和CPLD已采用HDL(HardwareDescriptio

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。