基于fpga的spwm波發(fā)生器設(shè)計(jì)

基于fpga的spwm波發(fā)生器設(shè)計(jì)

ID:22872741

大?。?73.13 KB

頁數(shù):21頁

時間:2018-11-01

基于fpga的spwm波發(fā)生器設(shè)計(jì)_第1頁
基于fpga的spwm波發(fā)生器設(shè)計(jì)_第2頁
基于fpga的spwm波發(fā)生器設(shè)計(jì)_第3頁
基于fpga的spwm波發(fā)生器設(shè)計(jì)_第4頁
基于fpga的spwm波發(fā)生器設(shè)計(jì)_第5頁
資源描述:

《基于fpga的spwm波發(fā)生器設(shè)計(jì)》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫

1、基于FPGA的SPWM波發(fā)生器設(shè)計(jì)摘要正弦波逆變電源已廣泛應(yīng)用于郵電、電力、通信等各個領(lǐng)域,與此同時隨著計(jì)算機(jī)相關(guān)技術(shù)的不斷發(fā)展與普及,正弦波逆變電源的應(yīng)用范圍將變得越來越廣泛。SPWM逆變控制器是正弦波逆變電源的核心部分,SPWM逆變控制器利用SPWM技術(shù)對正弦波逆變電源的頻率和幅值進(jìn)行控制調(diào)節(jié)。本文提出了一種基于FPGA器件的SPWM波形發(fā)生器的設(shè)計(jì)方法。利用Altera公司的EDA工具QuartusII軟件,采用至頂向K的方法對該波形發(fā)生器進(jìn)行了設(shè)計(jì),整個SPWM波形發(fā)生器運(yùn)用模塊化結(jié)構(gòu)設(shè)計(jì),各模塊易于移植,整個波形發(fā)牛.器的設(shè)計(jì)都便于功能擴(kuò)展和升級。關(guān)鍵詞:SPWMFP

2、GA時序仿真DesignofSPWMWaveGeneratorBasedonFPGAAbstractSinewaveinverterhasbeenwidelyusedinvariousfieldsofPostsandTelecommunications,electricity,communications,etc.,atthesametimewiththedevelopmentandpopularizationofcomputer-relatedtechnology,sinewaveinverterpowerrangeofapplicationswillbecomeincrea

3、singlywidespread.SPWMinvertercontrolleristhecorepartofthesinewaveinverter,SPWMinvertercontrollerusesthefrequencyandamplitudeofthesinewavetechnologyinvertercontrolregulation.ThispaperpresentsthedesignmethodbasedonFPGAdevicesSPWMwaveformgenerator.UseAltera’sQuartusIIsoftwareEDAtools,usingatop-

4、downapproachtothewaveformgeneratorhasbeendesigned,theentireSPWMwaveformgeneratorusingamodulardesign,eachmoduleiseasytotransplant,theentirewaveformgeneratorsaredesignedtofacilitatethefunctionexpansionandupgrade.KEYWORDS:SPWMFPGATimingSimulation目錄M-ife4第2章系統(tǒng)總體設(shè)計(jì)62.1SPWM原理簡介62.2SPWM波發(fā)生器設(shè)計(jì)方案72.3

5、FPGA器件的選擇與設(shè)計(jì)流程72.2.1FPGA器件的選擇72.2.2FPGA設(shè)計(jì)流程8第3章系統(tǒng)硬件部分沒計(jì)93.1總體方案設(shè)計(jì)93.2基于DDS的正弦波生成模塊設(shè)計(jì)93.3三角波模塊設(shè)計(jì)103.4A/D轉(zhuǎn)換模塊的設(shè)計(jì)113.5反饋模塊的設(shè)計(jì)11第4章系統(tǒng)軟件設(shè)計(jì)與實(shí)現(xiàn)134.1SPWM波發(fā)生器總體設(shè)計(jì)134.2分頻模塊的設(shè)計(jì)144.3正弦波地址分配模塊的設(shè)計(jì)154.4三角波模塊的設(shè)計(jì)174.5比較器模塊的設(shè)計(jì)18第5章總結(jié)20致謝錯誤!未定義書簽。輯趙21附錄錯誤!未定義書簽。第1章緒論隨著電力電子器件的不斷推陳出新,同時微處理器也向著高性能高效率的方向不斷發(fā)展,從而致使傳

6、統(tǒng)的PWM技術(shù)成為了電力電子行業(yè)中的核心組成部分之一,在電力電子行業(yè)中可以釆用多種不同的方式去產(chǎn)生SPWM脈沖寬度調(diào)制波。日常使用中較為普遍的SPWM波產(chǎn)生方法可大致分為兩類:第一類實(shí)現(xiàn)方法是模擬比較法,此類方法的實(shí)現(xiàn)過程是在以模擬電路為基礎(chǔ)的平臺上,通過對正弦調(diào)制波和三角波載波進(jìn)行實(shí)時的比對,以此生成SPWM脈沖寬度調(diào)制波;第二類實(shí)現(xiàn)方法是直接選用內(nèi)部集成了SPWM波發(fā)生器的專用微處理器直接生成SPWM脈沖寬度調(diào)制波。上述的兩種SPWM波實(shí)現(xiàn)的方法,模擬法的特點(diǎn)是:作為基礎(chǔ)實(shí)現(xiàn)平臺的模擬電路的設(shè)計(jì)顯得較為復(fù)雜,同時此類模擬電路域數(shù)字系統(tǒng)的連接會出現(xiàn)不兼容的現(xiàn)象;第二類直接采用

7、專用芯片生成SPWM波的方法的特點(diǎn)是:實(shí)現(xiàn)電路簡單,但在使用專用微處理器生成SPWM波時,會因?yàn)槲⑻幚砥髯陨淼难訒r現(xiàn)象,導(dǎo)致生成的SPWM波出現(xiàn)失真的現(xiàn)象,II生成SPWM波對專用的微處理器的性能要求極高。近些年來,傳統(tǒng)的PLD器件不斷推陳出新,同時隨著DDS直接數(shù)字頻率合成技術(shù)的出現(xiàn)和廣泛使用,在SPWM波生成的方式上有了很大的進(jìn)展,結(jié)合了傳統(tǒng)的PLD技術(shù)和新興的DDS技術(shù)共同產(chǎn)生丫一種全新的SPWM波生成方式,同時也對主要依靠SPWM波的變頻技術(shù)的發(fā)展提供了強(qiáng)勁的動力。DDS直接數(shù)字頻率

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。