eda-課程設(shè)計(jì)報(bào)告

eda-課程設(shè)計(jì)報(bào)告

ID:31736690

大?。?58.58 KB

頁(yè)數(shù):10頁(yè)

時(shí)間:2019-01-17

eda-課程設(shè)計(jì)報(bào)告_第1頁(yè)
eda-課程設(shè)計(jì)報(bào)告_第2頁(yè)
eda-課程設(shè)計(jì)報(bào)告_第3頁(yè)
eda-課程設(shè)計(jì)報(bào)告_第4頁(yè)
eda-課程設(shè)計(jì)報(bào)告_第5頁(yè)
資源描述:

《eda-課程設(shè)計(jì)報(bào)告》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫(kù)

1、設(shè)計(jì)報(bào)告在系統(tǒng)編程技術(shù)乒乓球游戲課程名稱(chēng)任課教師設(shè)計(jì)題目班級(jí)姓名學(xué)號(hào)日期一、題目分析課程設(shè)計(jì)制作了一個(gè)乒乓球游戲機(jī)。在課程設(shè)計(jì)中,程序設(shè)計(jì)語(yǔ)言為VHDL語(yǔ)言。乒乓球游戲機(jī)能夠正確判斷與顯示乒乓球的位置,且顯示分?jǐn)?shù)。裁判發(fā)出比賽開(kāi)始信號(hào)后,觸發(fā)FPGA內(nèi)部隨機(jī)數(shù)發(fā)生器模塊產(chǎn)生首次發(fā)球權(quán)方;比賽進(jìn)行中,選手連續(xù)兩次獲得發(fā)球權(quán)后,8個(gè)LED排列成行模擬乒乓球臺(tái);點(diǎn)亮的LED模擬乒乓球,受FPGA控制從左到右或從右到左移動(dòng);比賽選手通過(guò)按鈕輸入模擬擊球信號(hào),實(shí)現(xiàn)LED移位方向的控制;若發(fā)亮的LED運(yùn)動(dòng)在球臺(tái)屮點(diǎn)至對(duì)方終點(diǎn)Z間時(shí),對(duì)方未能及時(shí)按下?lián)羟虬粹o使其向相反方向移動(dòng),即失去一分;若

2、發(fā)亮的LED運(yùn)動(dòng)在球臺(tái)中點(diǎn)至對(duì)方終點(diǎn)之間時(shí),對(duì)方能及時(shí)按下?lián)羟虬粹o使其向相反方向移動(dòng),即得到一分。根據(jù)分析該游戲的原理,采用自頂向下的設(shè)計(jì)方法得到系統(tǒng)框圖,如圖圖1二、選擇方案該乒乓球游戲機(jī)的設(shè)計(jì)主要包括的模塊與內(nèi)容有:乒乓球游戲機(jī)實(shí)體的設(shè)計(jì),游戲機(jī)編程的實(shí)現(xiàn)。直接對(duì)狀態(tài)機(jī)進(jìn)行描述,所有的狀態(tài)均可表達(dá)為CASE_WHEN結(jié)構(gòu)中的一條CASE語(yǔ)句,而狀態(tài)的轉(zhuǎn)移則通過(guò)IF_THEN_ELSE語(yǔ)句實(shí)現(xiàn)。狀態(tài)機(jī)設(shè)置了7個(gè)狀態(tài),分別是“等待發(fā)球狀態(tài)”,“第一盞燈亮狀態(tài)”,“第八盞燈亮狀態(tài)”,“球向乙移動(dòng)狀態(tài)”,“球向甲移動(dòng)狀態(tài)”,“允許甲擊球狀態(tài)”,“允許乙擊球狀態(tài)”,這是該程序中起決定

3、作用的七個(gè)狀態(tài)。三、細(xì)化框圖設(shè)計(jì)該乒乓球游戲機(jī)的輸入與輸出端口。首先考慮輸入端口,一般應(yīng)該設(shè)置一個(gè)異步置位端口reset,用于在系統(tǒng)不止常時(shí)回到初始狀態(tài):兩個(gè)發(fā)球輸入端serve1和serve2,邏輯’1'分別表示甲方和乙方的發(fā)球;兩個(gè)擊球輸入端hitl和hit2,邏輯'1'分別表示甲擊球和乙擊球;一個(gè)開(kāi)始游戲按鈕startbutton,處于邏輯T'表示可以游戲;還得有一個(gè)吋鐘輸入端口elk。其次考慮輸岀端口,芯片應(yīng)該有8個(gè)輸出端口來(lái)控制8個(gè)發(fā)光二極管,輸出邏輯'1'即輸出一個(gè)高電平,可以使發(fā)光二極管點(diǎn)亮;另外,要直觀地表示雙方的得分,就得用到4個(gè)七段譯碼器,每方用到2個(gè),可以

4、表示0到21的數(shù)字,每個(gè)七段譯碼器需要芯片的7個(gè)輸岀端口來(lái)控制,總共28個(gè)輸岀端口。狀態(tài)機(jī)設(shè)置了7個(gè)狀態(tài),分別是waitserve,lightIon,ballmovcto2,Allow2hit,light8on,ballmovetol,和allowlhit它們代表的具體數(shù)值依次是0到6。在波形模擬圖中是用數(shù)值來(lái)表示狀態(tài)的。在整個(gè)程序中,狀態(tài)機(jī)起的是中央控制器的作用,由它控制的信號(hào)來(lái)影響整個(gè)程序中的其他相關(guān)部分,如記分部分,發(fā)光二極管部分。乒乓球游戲機(jī)中有兩個(gè)計(jì)數(shù)器count1和count2,分別記憶甲和乙的得分,用發(fā)光二極管的輪流發(fā)光表示球的移動(dòng)軌跡。圖2四、編寫(xiě)應(yīng)用程序及仿真

5、本設(shè)計(jì)的程序見(jiàn)附錄。仿真波形如:圖3。-?rr*/?/T3o/yjfli->S,?,d?JI心¥?

6、competojJKX/—DPCAV”匕K4itto—A??iXoal?l?M*v0*1Pv*ctg?9?Kcr£jMl須吋JXntoiUAD6

7、OSil.MmHeI令Co^^Mhor*

8、0—A/Xnfot▼xs?Cl&?coapwe??v?dinWFsxcCokmc.Youce

9、nccapc??0iciasCWFCokmcinoctecsc?auc?f>!?■■■??”InCesXX9b?u1?

10、tton:pin~5;light0"8:pin-11,32,33,34,35,36,37,38。引腳鎖定見(jiàn)圖4。PromtNawqMovccrvoetevfxlS

11、T27^ufOJ345670910II1213w15ie>VO

12、locebont/Oi1/OSteMtediGsrw^RJKtion5oecMrfFxxKt>onu^cfc.lPW.SIVTU"loc^

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。