EDA-數(shù)字秒表設(shè)計.doc

EDA-數(shù)字秒表設(shè)計.doc

ID:51934330

大?。?32.00 KB

頁數(shù):18頁

時間:2020-03-19

EDA-數(shù)字秒表設(shè)計.doc_第1頁
EDA-數(shù)字秒表設(shè)計.doc_第2頁
EDA-數(shù)字秒表設(shè)計.doc_第3頁
EDA-數(shù)字秒表設(shè)計.doc_第4頁
EDA-數(shù)字秒表設(shè)計.doc_第5頁
資源描述:

《EDA-數(shù)字秒表設(shè)計.doc》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫。

1、電子設(shè)計自動化大作業(yè)題目數(shù)字秒表設(shè)計學(xué)院控制科學(xué)與工程學(xué)院班級自動化0803姓名學(xué)號二OO一一年五月十二日題目:數(shù)字秒表的設(shè)計一、設(shè)計要求:(1)數(shù)字秒表的計時精度是10ms;(2)復(fù)位開關(guān)可以在任何情況下使用,計時在計時過程中,只要按一下復(fù)位開關(guān),計時器就清零,并做好下次計時的準(zhǔn)備;(3)具有啟/停開關(guān),即按一下啟/停開關(guān),啟動計時器開始計時,再按一下啟/停開關(guān)則停止計時。(4)數(shù)字秒表的計時范圍是0秒~59分59.99秒,顯示的最長時間為59分59秒二、總體設(shè)計:1、總體結(jié)構(gòu)圖通過3-8譯碼器控制8位數(shù)碼管的亮滅Sel模塊選擇輸入信號控制選擇模塊輸出的數(shù)據(jù)時鐘的分秒和毫秒輸入到CHOI

2、CE中通過數(shù)據(jù)的編碼控制數(shù)碼管的顯示2、各模塊功能1)SEL模塊:將掃描信號輸給選擇(CHOICE)模塊2)選擇模塊:按掃描信號的指定選擇輸出3)3-8譯碼模塊:通過SEL給的信號來控制8位數(shù)碼管位的亮滅4)計時模塊:分別對毫秒,秒,分計時5)顯示模塊:通過CHOICE模塊的輸出信號來控制三、單元模塊設(shè)計1、模塊名:sel模塊設(shè)計(1)模塊功能:CLK為掃描時鐘脈沖,SELOUT端不停的發(fā)出掃描到的信號(2)端口定義:CLK為信號輸入端SELOUT[2..0]為選擇到的信號輸出(3)VHDL源程序libraryieee;useieee.std_logic_1164.all;useieee.

3、std_logic_unsigned.all;entityselisport(clk:instd_logic;selout:outstd_logic_vector(2downto0));endsel;architectureoneofselissignalcount:std_logic_vector(2downto0);beginprocess(clk)beginifclk'eventandclk='1'thenif(count="101")thencount<="000";elsecount<=count+1;endif;endif;endprocess;selout<=count;en

4、done;(4)仿真結(jié)果說明:來一個上升沿,SELOUT的值增1,可以證明模塊是正確的。2、模塊名:選擇模塊設(shè)計(1)模塊功能:按掃描信號的指定選擇輸出(2)端口定義:a,b,c為控制信號;data1[3..0],data2[3..0],data3[3..0],data4[3..0],data5[3..0],data6[3..0]分別是毫秒的低位,毫秒的高位,秒的低位,秒的高位,分的低位,分的高位的數(shù)據(jù)值;ch_out[3..0]為選擇輸出端。(3)VHDL源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigne

5、d.all;entitychoiceisport(a,b,c:instd_logic;data1,data2,data3,data4,data5,data6:instd_logic_vector(3downto0);ch_out:outstd_logic_vector(3downto0));endchoice;architecturebehaveofchoiceissignalch:std_logic_vector(2downto0);beginch(2)<=c;ch(1)<=b;ch(0)<=a;process(ch)begincasechiswhen"000"=>ch_out<=dat

6、a1;when"001"=>ch_out<=data2;when"010"=>ch_out<=data3;when"011"=>ch_out<=data4;when"100"=>ch_out<=data5;when"101"=>ch_out<=data6;whenothers=>null;endcase;endprocess;endbehave;(4)仿真結(jié)果說明:abc的值遞增,ch_out選擇輸出data1,data2,data3,data4,data5,data6的值,證明模塊是正確的3、模塊名:3-8譯碼模塊設(shè)計(1)模塊功能:通過SEL給的信號來控制8位數(shù)碼管位的亮滅。(2)端口

7、定義:輸入端SEL[2..0]值大小來選擇輸出Q的值輸出端Q[7..0]來控制燈哪位亮(3)VHDL源程序LIBRARYieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYdecode3_8ISPORT(SEL:INstd_logic_vector(2downto0);Q:OUTstd_logic_vector(7downto0));E

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。