數(shù)字秒表設(shè)計(jì)VHDL.doc

數(shù)字秒表設(shè)計(jì)VHDL.doc

ID:61499624

大?。?50.00 KB

頁(yè)數(shù):12頁(yè)

時(shí)間:2021-02-07

數(shù)字秒表設(shè)計(jì)VHDL.doc_第1頁(yè)
數(shù)字秒表設(shè)計(jì)VHDL.doc_第2頁(yè)
數(shù)字秒表設(shè)計(jì)VHDL.doc_第3頁(yè)
數(shù)字秒表設(shè)計(jì)VHDL.doc_第4頁(yè)
數(shù)字秒表設(shè)計(jì)VHDL.doc_第5頁(yè)
資源描述:

《數(shù)字秒表設(shè)計(jì)VHDL.doc》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在應(yīng)用文檔-天天文庫(kù)。

1、北華航天工業(yè)學(xué)院《EDA技術(shù)綜合設(shè)計(jì)》課程設(shè)計(jì)報(bào)告報(bào)告題目:數(shù)字秒表設(shè)計(jì)作者所在系部:電子工程系作者所在專業(yè):電子信息工程作者所在班級(jí):作者姓名:指導(dǎo)教師姓名:完成時(shí)間:2010年12月12日內(nèi)容摘要應(yīng)用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng),很多設(shè)計(jì)工作可以在計(jì)算機(jī)上完成,從而縮短了數(shù)字系統(tǒng)的開(kāi)發(fā)時(shí)間。我們嘗試?yán)肰HDL為開(kāi)發(fā)工具設(shè)計(jì)數(shù)字秒表。秒表的邏輯結(jié)構(gòu)較簡(jiǎn)單,它主要由十進(jìn)制計(jì)數(shù)器、六進(jìn)制計(jì)數(shù)器、12500的分頻器、數(shù)據(jù)選擇器、和顯示譯碼器等組成。在整個(gè)秒表中最關(guān)鍵的是如何獲得一個(gè)精確的100HZ計(jì)時(shí)脈沖,除此之外,整個(gè)秒表還需有一個(gè)啟動(dòng)信號(hào)和一個(gè)清零信號(hào),以便秒表能隨意停止

2、及啟動(dòng)。秒表有共有6個(gè)輸出顯示,分別為百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6個(gè)計(jì)數(shù)器與之相對(duì)應(yīng),6個(gè)計(jì)數(shù)器的輸出全都為BCD碼輸出,這樣便與同顯示譯碼器連接。開(kāi)關(guān)設(shè)置秒表報(bào)警器,每10秒鐘,蜂鳴器鳴響1聲,發(fā)光二極管閃爍。當(dāng)計(jì)時(shí)達(dá)60分鐘后,蜂鳴器鳴響10聲。關(guān)鍵詞:VHDL、數(shù)據(jù)選擇器、計(jì)數(shù)器、顯示器目錄一、系統(tǒng)組成框圖……………………………………………………5二、各模塊原理及其程序……………………………………………51、六進(jìn)制計(jì)數(shù)器……………………………………………………62、十進(jìn)制計(jì)數(shù)器…………………………………………………63、蜂鳴器………………

3、………………………………………74、譯碼器…………………………………………………………85、控制器…………………………………………………………9三、系統(tǒng)仿真………………………………………………………101、六進(jìn)制計(jì)數(shù)器…………………………………………………102、十進(jìn)制計(jì)數(shù)器…………………………………………………103、蜂鳴器………………………………………………………104、譯碼器………………………………………………………105、控制器………………………………………………………10四、心得體會(huì)……………………………………………………11課程設(shè)計(jì)任務(wù)書(shū)課題名稱數(shù)字秒表設(shè)計(jì)

4、完成時(shí)間10.12指導(dǎo)教師職稱學(xué)生姓名班級(jí)總體設(shè)計(jì)要求和技術(shù)要點(diǎn)總體設(shè)計(jì)要求:通過(guò)本課程的學(xué)習(xí)使學(xué)生掌握可編程器件、EDA開(kāi)發(fā)系統(tǒng)軟件、硬件描述語(yǔ)言和電子線路設(shè)計(jì)與技能訓(xùn)練等各方面知識(shí);提高工程實(shí)踐能力;學(xué)會(huì)應(yīng)用EDA技術(shù)解決一些簡(jiǎn)單的電子設(shè)計(jì)問(wèn)題。技術(shù)要點(diǎn):1.秒表有共有6個(gè)輸出顯示,分別為百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6個(gè)計(jì)數(shù)器與之相對(duì)應(yīng),6個(gè)計(jì)數(shù)器的輸出全都為BCD碼輸出,這樣便與同顯示譯碼器的連接。2.開(kāi)關(guān)設(shè)置秒表報(bào)警器,每10秒鐘,蜂鳴器鳴響1聲,發(fā)光二極管閃爍。當(dāng)計(jì)時(shí)達(dá)60分鐘后,蜂鳴器鳴響10聲。工作內(nèi)容及時(shí)間進(jìn)度安排工作內(nèi)容:在軟件

5、上編輯、編譯程序,并仿真到達(dá)實(shí)驗(yàn)要求。進(jìn)度安排;課下編寫(xiě)程序,并要求程序能通過(guò)編譯仿真;第十六周的周三,周四在實(shí)驗(yàn)板上下載調(diào)試程序;周五課設(shè)答辯。課程設(shè)計(jì)成果1.與設(shè)計(jì)內(nèi)容對(duì)應(yīng)的軟件程序2.課程設(shè)計(jì)報(bào)告書(shū)3.成果使用說(shuō)明書(shū)4.設(shè)計(jì)工作量要求設(shè)計(jì)過(guò)程數(shù)字秒表計(jì)時(shí)控制電路控制狀態(tài)機(jī)計(jì)時(shí)電路顯示電路分頻電路計(jì)數(shù)器六進(jìn)制計(jì)數(shù)器掃描電路七段譯碼器十進(jìn)制計(jì)數(shù)器系統(tǒng)組成框圖一.系統(tǒng)組成框圖二.各模塊及的原理及其程序(1)六進(jìn)制計(jì)數(shù)器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityc

6、ount6isport(clk,clr,start:instd_logic;daout:outstd_logic_vector(3downto0);cout:outstd_logic);endcount6;architecturebehaveofcount6issignaltemp:std_logic_vector(3downto0);beginprocess(clk,clr)beginifclr='1'thentemp<="0000";cout<='0';elsifclk'eventandclk='1'thenifstart='1'theniftemp>="0101"

7、thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';endif;endif;endif;endprocess;daout<=temp;endbehave;(2)十進(jìn)制計(jì)數(shù)器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitycount10isport(clr,start,clk:instd_logic;cout:outstd_lo

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。