數(shù)字秒表設計VHDL.doc

數(shù)字秒表設計VHDL.doc

ID:61499624

大?。?50.00 KB

頁數(shù):12頁

時間:2021-02-07

數(shù)字秒表設計VHDL.doc_第1頁
數(shù)字秒表設計VHDL.doc_第2頁
數(shù)字秒表設計VHDL.doc_第3頁
數(shù)字秒表設計VHDL.doc_第4頁
數(shù)字秒表設計VHDL.doc_第5頁
資源描述:

《數(shù)字秒表設計VHDL.doc》由會員上傳分享,免費在線閱讀,更多相關內(nèi)容在應用文檔-天天文庫

1、北華航天工業(yè)學院《EDA技術綜合設計》課程設計報告報告題目:數(shù)字秒表設計作者所在系部:電子工程系作者所在專業(yè):電子信息工程作者所在班級:作者姓名:指導教師姓名:完成時間:2010年12月12日內(nèi)容摘要應用VHDL語言設計數(shù)字系統(tǒng),很多設計工作可以在計算機上完成,從而縮短了數(shù)字系統(tǒng)的開發(fā)時間。我們嘗試利用VHDL為開發(fā)工具設計數(shù)字秒表。秒表的邏輯結構較簡單,它主要由十進制計數(shù)器、六進制計數(shù)器、12500的分頻器、數(shù)據(jù)選擇器、和顯示譯碼器等組成。在整個秒表中最關鍵的是如何獲得一個精確的100HZ計時脈沖,除此之外,整個秒表還需有一個啟動信號和一個清零信號,以便秒表能隨意停止

2、及啟動。秒表有共有6個輸出顯示,分別為百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6個計數(shù)器與之相對應,6個計數(shù)器的輸出全都為BCD碼輸出,這樣便與同顯示譯碼器連接。開關設置秒表報警器,每10秒鐘,蜂鳴器鳴響1聲,發(fā)光二極管閃爍。當計時達60分鐘后,蜂鳴器鳴響10聲。關鍵詞:VHDL、數(shù)據(jù)選擇器、計數(shù)器、顯示器目錄一、系統(tǒng)組成框圖……………………………………………………5二、各模塊原理及其程序……………………………………………51、六進制計數(shù)器……………………………………………………62、十進制計數(shù)器…………………………………………………63、蜂鳴器………………

3、………………………………………74、譯碼器…………………………………………………………85、控制器…………………………………………………………9三、系統(tǒng)仿真………………………………………………………101、六進制計數(shù)器…………………………………………………102、十進制計數(shù)器…………………………………………………103、蜂鳴器………………………………………………………104、譯碼器………………………………………………………105、控制器………………………………………………………10四、心得體會……………………………………………………11課程設計任務書課題名稱數(shù)字秒表設計

4、完成時間10.12指導教師職稱學生姓名班級總體設計要求和技術要點總體設計要求:通過本課程的學習使學生掌握可編程器件、EDA開發(fā)系統(tǒng)軟件、硬件描述語言和電子線路設計與技能訓練等各方面知識;提高工程實踐能力;學會應用EDA技術解決一些簡單的電子設計問題。技術要點:1.秒表有共有6個輸出顯示,分別為百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6個計數(shù)器與之相對應,6個計數(shù)器的輸出全都為BCD碼輸出,這樣便與同顯示譯碼器的連接。2.開關設置秒表報警器,每10秒鐘,蜂鳴器鳴響1聲,發(fā)光二極管閃爍。當計時達60分鐘后,蜂鳴器鳴響10聲。工作內(nèi)容及時間進度安排工作內(nèi)容:在軟件

5、上編輯、編譯程序,并仿真到達實驗要求。進度安排;課下編寫程序,并要求程序能通過編譯仿真;第十六周的周三,周四在實驗板上下載調(diào)試程序;周五課設答辯。課程設計成果1.與設計內(nèi)容對應的軟件程序2.課程設計報告書3.成果使用說明書4.設計工作量要求設計過程數(shù)字秒表計時控制電路控制狀態(tài)機計時電路顯示電路分頻電路計數(shù)器六進制計數(shù)器掃描電路七段譯碼器十進制計數(shù)器系統(tǒng)組成框圖一.系統(tǒng)組成框圖二.各模塊及的原理及其程序(1)六進制計數(shù)器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityc

6、ount6isport(clk,clr,start:instd_logic;daout:outstd_logic_vector(3downto0);cout:outstd_logic);endcount6;architecturebehaveofcount6issignaltemp:std_logic_vector(3downto0);beginprocess(clk,clr)beginifclr='1'thentemp<="0000";cout<='0';elsifclk'eventandclk='1'thenifstart='1'theniftemp>="0101"

7、thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';endif;endif;endif;endprocess;daout<=temp;endbehave;(2)十進制計數(shù)器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitycount10isport(clr,start,clk:instd_logic;cout:outstd_lo

當前文檔最多預覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學公式或PPT動畫的文件,查看預覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。