Quartus II+Modelsim各種仿真驗證

Quartus II+Modelsim各種仿真驗證

ID:37583252

大?。?21.69 KB

頁數(shù):10頁

時間:2019-05-25

Quartus II+Modelsim各種仿真驗證_第1頁
Quartus II+Modelsim各種仿真驗證_第2頁
Quartus II+Modelsim各種仿真驗證_第3頁
Quartus II+Modelsim各種仿真驗證_第4頁
Quartus II+Modelsim各種仿真驗證_第5頁
資源描述:

《Quartus II+Modelsim各種仿真驗證》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、QuartusII+Modelsim各種仿真驗證CrazyBingo2012-3-2參考無雙oo前輩的博文:http://www.cnblogs.com/oomusou/archive/2009/01/30/modelsim_pre_post_simulate.html參考book:《AlteraFPGA/CPLD設(shè)計初級篇》第二版一、FPGA的設(shè)計驗證流程系統(tǒng)設(shè)計電路構(gòu)思否電路設(shè)計與輸入(HDL,原理圖,波形,狀態(tài)機)否功能仿真是否正確是是是否為綜合優(yōu)化的問題綜合優(yōu)化綜合后仿真是否正確否否是是實現(xiàn)與布局布線是否為實現(xiàn)的問題布局布線后仿真是否正確否是否板級仿真與驗證是否正確是加載配置在線調(diào)

2、試圖1:FPGA/CPLD設(shè)計完整流程設(shè)計輸入DeignEntry綜合分析生成變成文件Anslysis&SynthesisAssemble布局布線建立對應(yīng)器件鏈接文件Fitter(Place&Route)Programmer時序分析轉(zhuǎn)換變成文件TimingAnalysisConvert編程和配置Programming&Configuration圖2:FPGA/CPLD設(shè)計簡要流程圖3:QuartusII中編譯步步為營二、仿真步驟各種概念與步驟1)前仿真前仿真,即功能仿真,用專用放著工具對設(shè)計進行功能仿真,驗證電路功能是否符合設(shè)計要求。通過功能仿真能即使發(fā)現(xiàn)設(shè)計中的錯誤,加快設(shè)計進度,提高設(shè)

3、計的可靠性。2)綜合后的仿真把綜合生成的標準延時反標注到綜合仿真模型去,可估計門延時帶來的影響,但是只能估計門延時,不能估計線延時,仿真結(jié)果和部先后的實際情況還有一定的差距,并不十分準確。由于目前綜合工具比較成熟,一般省去此環(huán)節(jié)的仿真。而且在QuartusII中,直接進行下一步仿真。3)布局布線后的仿真即時序仿真。布局布線后生成的仿真延時文件最全,不僅包括門延時,還包括布線延時,所以最為準確,能較好的反映芯片的實際工作情況。一般來說,布局布線必須進行,以此確保設(shè)計的可靠性和穩(wěn)定性,發(fā)現(xiàn)時序違規(guī)(TimingViolation)。4)板級仿真在有些高速設(shè)計的情況下,還需要使用第三方板級驗證工

4、具進行仿真與測試,入MentorTau、ForteDesign-TimingDesigner、MentorHyperlynx、MentorICX、CadenceSPECCTRAQuest、SynopsysHSPICE。這些工具通過設(shè)計的IBIS和HSPICE等模型的仿真,能較好的分析高速設(shè)計信號的完整性、電磁干擾(EMI)等電路特性。5)在線仿真在加載配置目標板之后,設(shè)計者在必要情況下要進行的一個步驟,就是在線仿真調(diào)試,利用QuartusII自帶SignalTapII在線邏輯分析儀進行分析,通過JTAG口,在線、實時讀取FPGA內(nèi)部信號。一般在我們的設(shè)計中但是在我們小型設(shè)計中,時序要求不是

5、很嚴格的情況下,可以省略時序仿真,只進行功能仿真(綜合后的仿真一般只在IC設(shè)計中用到,一般軟件能勝任綜合工作,全程編譯直接綜合→布局布線);大型設(shè)計或時序非常嚴謹?shù)那闆r下,務(wù)必進行時序仿真,驗證時序是否違規(guī),進而用timequest進行約束(可以用QuartusII內(nèi)嵌的靜態(tài)時序分析(STA),或者第三方(Synopsys的Fprmality、PrimeTime),也可以用QuartusII內(nèi)嵌的ChipEditor分析芯片內(nèi)部的連接于配置情況。)。另外在高速電路設(shè)計時,為了保證設(shè)計的可靠性,務(wù)必在時序仿真之后,還要進行一些板級驗證。三、QuartusII進行功能&時序仿真(一)Quart

6、usII仿真相關(guān)就算不用第三方軟件,QuartusII自個兒也能完成功能仿真和時序仿真,只是波形仿真器不適合龐大的時序,gui做的比較戳罷了。在QuartusII兩種仿真,軟件分為“function”與“timing”,還有快速時序仿真模型,即功能仿真與時序仿真,可在Device中的SimulatorSetting時鐘設(shè)置。一般我們直接進行或者軟件默認為Timing時序仿真。(二)功能仿真(1)在Setting中設(shè)置Simulator為Functional功能仿真(2)生成功能仿真網(wǎng)標文件(3)輸入激勵后進行功能仿真,如下圖所示:(三)時序仿真(1)在SimulationSetting中選

7、擇Timing時序仿真(2)Startsimulation,如下圖,QuartusII會自動在在Modelsim中加入演示文件。如下,可以看到時序延時(門級延時與布線延時)四、Q2+Modelsim進行功能&時序仿真(一)Modelsim仿真相關(guān)Modelsim是業(yè)界最優(yōu)秀的HDL語言仿真器,但是更專業(yè)更牛逼,對于龐大的工程,復雜的時序,如果想QuartusII那樣輸入激勵,坐等蛋碎吧……相對于QuartusII而言,M

當前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。