quartus ii調(diào)用modelsim仿真方法

quartus ii調(diào)用modelsim仿真方法

ID:6165151

大?。?32.54 KB

頁數(shù):10頁

時(shí)間:2018-01-05

quartus ii調(diào)用modelsim仿真方法_第1頁
quartus ii調(diào)用modelsim仿真方法_第2頁
quartus ii調(diào)用modelsim仿真方法_第3頁
quartus ii調(diào)用modelsim仿真方法_第4頁
quartus ii調(diào)用modelsim仿真方法_第5頁
資源描述:

《quartus ii調(diào)用modelsim仿真方法》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、方法1:在quartusii11.0環(huán)境下,編輯生成并修改quartus生成的testbench文件,采用手動(dòng)設(shè)置激勵(lì)形成波形(有很多缺陷)。具體步驟:1.新建工程在test目錄下創(chuàng)建工程fulladder然后直接finish;2.編寫VHDL:New一個(gè)VHDLFILE,輸入代碼:libraryieee;useieee.std_logic_1164.all;ENTITYfulladderISPORT(a,b,cin:INSTD_LOGIC;?s,cout:OUTSTD_LOGIC);ENDfulladder;ARCHITECTUREfulladderOFfu

2、lladderISBEGINs<=aXORbXORcin;cout<=(aANDb)OR(aANDcin)OR(bANDcin);ENDfulladder;保存在test目錄下,文件名為默認(rèn)fulladder。3.?在Quartes?II?11.0界面菜單欄中選擇?Tools-->options選項(xiàng)卡中選中EDA?tool?options,在該選項(xiàng)卡中下面的ModelSim-Altera一項(xiàng)指定安裝路徑為(如d:/Altera/11.0/modelsim_ae/win32aloem)4.在Quartes?II?11.0界面菜單欄中選擇Assignments->

3、Settings。選中該界面下EDA?Tool?settings中的Simulation一項(xiàng);Tool?name中選擇ModelSim-Altera;Format?for?output?netlist中選擇開發(fā)語言的類型VHDL或其它,如圖:然后點(diǎn)擊APPLY應(yīng)用和OK。5.設(shè)置完成后,編譯工程:在Quartus?II?11.0界面菜單欄中選擇菜單欄選擇Processing-->startCompilation,等待編譯,無錯(cuò)后會(huì)在test目錄下生成simulation目錄,執(zhí)行下一步。6.在Quartus?II?11.0界面菜單欄中選擇菜單欄Tools中的R

4、un?EDA?Simulation?Tool-->EDA?RTL?Simulation?進(jìn)行行為級(jí)仿真,接下來就可以看到ModelSim-Altera?6.6d的運(yùn)行界面7.modelsim界面菜單欄中選擇Compile-->compile...,彈出窗口中選擇test/simulation/modelsim/fulladder.vho文件,點(diǎn)擊compile,然后點(diǎn)擊done.在Library窗口中可以展開work/fulladder可以看到:8.雙擊fulladder載入9.此時(shí),在作為輸入的端口對(duì)象上點(diǎn)右鍵,選擇createwave創(chuàng)建波形,作為輸出的端

5、口上點(diǎn)右鍵選擇add-->towave-->slectedsignals,添加到波形窗口中,然后運(yùn)算即可仿真方法2:在quartusii11.0環(huán)境下,調(diào)用modelsim仿真,并修改quartus生成的testbench文件,提供激勵(lì)形成波形。具體步驟:(前5步同上)1.新建工程2.編寫VHDL3.?在Quartes?II?11.0界面菜單欄中選擇?Tools-->options選項(xiàng)卡中選中EDA?tool?options,在該選項(xiàng)卡中下面的ModelSim-Altera一項(xiàng)指定安裝路徑為(如d:/Altera/11.0/modelsim_ae/win32a

6、loem)4.在Quartes?II?11.0界面菜單欄中選擇Assignments->Settings。選中該界面下EDA?Tool?settings中的Simulation一項(xiàng);Tool?name中選擇ModelSim-Altera;Format?for?output?netlist中選擇開發(fā)語言的類型VHDL或其它。5.設(shè)置完成后,編譯工程:在Quartus?II?11.0界面菜單欄中選擇菜單欄選擇Processing-->start?Compilation,等待編譯,無錯(cuò)后會(huì)在test目錄下生成simulation目錄,執(zhí)行下一步。6.生成testbe

7、nch文件,Processing-->start-->starttestbenchtemplatewrite執(zhí)行如圖:會(huì)在?test/simulation/modelsim下生成fulladder.vht?文件7.打開test/simulation/modelsim/fulladder.vht文件(注意文件類型)LIBRARYieee;??????????????????????????????????????????????USEieee.std_logic_1164.all;???????????????????????????????ENTITYfull

8、adder_vhd_tstISENDf

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無此問題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。