VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真

VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真

ID:38984295

大?。?.61 MB

頁(yè)數(shù):16頁(yè)

時(shí)間:2019-06-23

VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真_第1頁(yè)
VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真_第2頁(yè)
VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真_第3頁(yè)
VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真_第4頁(yè)
VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真_第5頁(yè)
資源描述:

《VHDL--Quartus II調(diào)用Modelsim進(jìn)行仿真》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫(kù)

1、VHDL-----QuartusII(11.1版本)調(diào)用Modelsim進(jìn)行仿真之前仿真一直是用QuartusII自帶的“VectorWaveformFile”,但因其功能有限,在老師的推薦下接觸了Modelsim,搗騰了一段時(shí)間之后總算有所收獲了。在查資料的過(guò)程中,發(fā)現(xiàn)好多二者聯(lián)合的講解都是用Verilog語(yǔ)言寫的例子,而VHDL很少。以下是我總結(jié)的用VHDL語(yǔ)言的具體步驟以及要注意的細(xì)節(jié)~~坎坷的路就略過(guò)了,直接展示成果。例子是‘八位七段數(shù)碼管的動(dòng)態(tài)掃描顯示’一.用QuartusII進(jìn)行VHDL語(yǔ)言編譯1.首先建立工程File->NewprojectWizard(第三個(gè)

2、圖標(biāo))填入所建工程的目錄以及名稱,注意稍后的源程序中實(shí)體名必須和這里的名稱相同。填好后單擊finish,然后單擊yes。1.新建VHDL源程序文件File->New->VHDLFile->OK將程序?qū)戇M(jìn)去(這里只講步驟,不講源程序的寫法)注意:程序中的實(shí)體名必須和之前建工程時(shí)所命名的文件名相同!然后保存一下,不用改動(dòng),直接單擊保存。1.編譯快捷鍵按鈕在界面中上方的紅色倒三角,顯示編譯成功之后關(guān)閉編譯報(bào)告。4.設(shè)備設(shè)置具體的實(shí)驗(yàn)器件根據(jù)提供的實(shí)驗(yàn)板設(shè)定Assignment->Device1.引腳分配Assignment->PinPlanner在Location下的方格中填入對(duì)

3、應(yīng)的硬件引腳,也是根據(jù)實(shí)驗(yàn)板而定6.引腳分配好之后再次編譯一下,使分配的引腳起作用。一、調(diào)用Modelsim1、設(shè)置仿真工具為Modelsim-alteraTool->Options->EDAToolOptions,在Modelsim-Altera后面的地址改為Modelsim-Altera.exe在你電腦中的位置,然后點(diǎn)擊OK。1、寫TestBench文件,這里使用QuartusII生成的模板Processing->start->StartTestBenchTemplateWriter,顯示成功后關(guān)閉生成報(bào)告。這時(shí)會(huì)生成后綴為.vht的文件就是我們需要的,可以在這個(gè)實(shí)驗(yàn)開(kāi)

4、始時(shí)所建工程名的文件夾下的simulation子文件夾下找到。我們可以通過(guò)QuartusII左上角的打開(kāi)圖標(biāo)打開(kāi).vht文件,注意把文件類型更改成Allfiles或者下圖所示的類型,否則會(huì)找不到。然后在simulation文件夾下找到并打開(kāi)它。模板已經(jīng)給出了端口部分的代碼、接口變量的聲明和例化語(yǔ)句映射等,我們要做的就是在其后的進(jìn)程Process中填入需要的測(cè)試代碼。如下:注意:init和always為關(guān)鍵字,需要改動(dòng)一下保存一下1、將TestBench添加到工程中單擊Open點(diǎn)擊Add后,一直單擊OK到Setting界面全部關(guān)閉。1、可以開(kāi)始仿真了Tools->Runsim

5、ulationTool->RTLSimulation,這時(shí)就會(huì)自動(dòng)啟動(dòng)Modelsim軟件如果之前的主程序以及TestBench沒(méi)有錯(cuò)誤了,就會(huì)出現(xiàn)下面的界面點(diǎn)擊上面的紅點(diǎn)(stop),單擊Run按鈕(旁邊的時(shí)間根據(jù)實(shí)際需要調(diào)整),并通過(guò)Ctrl+鼠標(biāo)滾動(dòng)或者界面上的加號(hào)按鈕,可以將波形調(diào)整到如下容易察看的間距,另外如果感覺(jué)一串0和1的組合不容易看懂,可以右擊通過(guò)下面的方式將二進(jìn)制數(shù)改為十進(jìn)制或者十六進(jìn)制的形式。最終的波形圖如下:經(jīng)檢驗(yàn)與實(shí)驗(yàn)?zāi)康南喾?,是正確的。另外,可以滾動(dòng)波形下方的游標(biāo)來(lái)觀察不同時(shí)刻的波形。至此,QuartsII調(diào)用Modelsim已經(jīng)圓滿完成了,剩下

6、的就是將程序下載到硬件實(shí)驗(yàn)板上進(jìn)行驗(yàn)證了。注意:1.工程名稱必須和主程序(.Vhd文件)中的實(shí)體名相同。2.TestBench名稱必須和TestBench程序(.Vht文件)中的實(shí)體名相同。3.所有在進(jìn)程(process)中用到的變量和信號(hào)都要進(jìn)行初始化,否則會(huì)在仿真波形中得不到輸出。比如本例主程序中的變量q1,Testbench程序中的clk和key。4.生成的TestBench模板根據(jù)需要、習(xí)慣可以任意改動(dòng)。

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。