quartus調(diào)用modelsim

quartus調(diào)用modelsim

ID:40753854

大?。?75.50 KB

頁(yè)數(shù):6頁(yè)

時(shí)間:2019-08-07

quartus調(diào)用modelsim_第1頁(yè)
quartus調(diào)用modelsim_第2頁(yè)
quartus調(diào)用modelsim_第3頁(yè)
quartus調(diào)用modelsim_第4頁(yè)
quartus調(diào)用modelsim_第5頁(yè)
資源描述:

《quartus調(diào)用modelsim》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫(kù)

1、QUARTUS調(diào)用MODELSIM仿真1.安裝好Quartus及與之相對(duì)應(yīng)的ModelSim-Altera軟件。2.打開(kāi)Quaruts,找到Tools—Options,在Options菜單中選擇EDAToolsOptions,在ModelSim—Altera一欄中設(shè)置安裝路徑,注意最后選擇win32aloem文件夾。3.打開(kāi)需要仿真的工程。在工程—Settings下找到Simulation選項(xiàng)。按圖示設(shè)置好相應(yīng)選項(xiàng)。4.全編譯工程。完成后在Processing選項(xiàng)下選擇StartTestBenchTemplateWrite生成TestBench文件。TestBench模板存于

2、工程目錄下的simulation—modelsim文件夾中,后綴為.vt的文件。5.打開(kāi)生成的TestBench文件。添加必要的激勵(lì)和復(fù)位信號(hào)。6.繼續(xù)在工程—Settings—Simulaion下設(shè)置TestBench路徑。在彈出的對(duì)話框中設(shè)置,第一欄為testbench文件名,第二欄為tentbench中模塊名,若用verilog編寫(xiě)代碼,模板默認(rèn)生成的模塊名后綴為_(kāi)vlg_tst,可以在此處設(shè)置仿真結(jié)束時(shí)間,最后添加testbench文件。7.完成后在tools下選擇EDARTLSimulaion,即可調(diào)用Modelsim進(jìn)行功能仿真。8.Modelsim自動(dòng)對(duì)文件進(jìn)行

3、編譯,最后生成仿真波形。

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。