微機(jī)原理精彩試題及問(wèn)題詳解

微機(jī)原理精彩試題及問(wèn)題詳解

ID:39446198

大?。?09.75 KB

頁(yè)數(shù):33頁(yè)

時(shí)間:2019-07-03

上傳者:linlin921
微機(jī)原理精彩試題及問(wèn)題詳解_第1頁(yè)
微機(jī)原理精彩試題及問(wèn)題詳解_第2頁(yè)
微機(jī)原理精彩試題及問(wèn)題詳解_第3頁(yè)
微機(jī)原理精彩試題及問(wèn)題詳解_第4頁(yè)
微機(jī)原理精彩試題及問(wèn)題詳解_第5頁(yè)
資源描述:

《微機(jī)原理精彩試題及問(wèn)題詳解》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫(kù)。

實(shí)用標(biāo)準(zhǔn)文檔學(xué)年第學(xué)期微機(jī)原理及應(yīng)用(A)課程試卷卷16班級(jí)姓名得分任課教師一、選擇題:(每題1.5分,共18分)1、DMAC向CPU發(fā)出請(qǐng)求信號(hào),CPU響應(yīng)并交出總線控制權(quán)后將()。反復(fù)執(zhí)行空操作,直到DMA操作結(jié)束進(jìn)入暫停狀態(tài),直到DMA操作結(jié)束進(jìn)入保持狀態(tài),直到DMA操作結(jié)束進(jìn)入等待狀態(tài),直到DMA操作結(jié)束2、有一個(gè)實(shí)時(shí)數(shù)據(jù)采集系統(tǒng),要求10ms進(jìn)行一次數(shù)據(jù)采集,然后進(jìn)行數(shù)據(jù)處理及顯示輸出,應(yīng)采用的數(shù)據(jù)傳送方式為()。無(wú)條件傳送方式查詢(xún)方式中斷方式直接存儲(chǔ)器存取方式3、在數(shù)據(jù)傳送過(guò)程中,數(shù)據(jù)由串行變并行,或由并行變串行的轉(zhuǎn)換可通過(guò)()來(lái)實(shí)現(xiàn)。計(jì)數(shù)器寄存器移位寄存器D觸發(fā)器4、8088CPU輸入/輸出指令可尋址外設(shè)端口的數(shù)量最大可達(dá)()個(gè)。12825616K64K5、CPU響應(yīng)中斷后,通過(guò)()完成斷點(diǎn)的保護(hù)。執(zhí)行開(kāi)中斷指令執(zhí)行關(guān)中斷指令執(zhí)行PUSH指令內(nèi)部自動(dòng)操作6、并行接口芯片8255A具有雙向數(shù)據(jù)傳送功能的端口是()。PA口PB口PC口控制口7、8088CPU處理動(dòng)作的最小時(shí)間單位是()。指令周期時(shí)鐘周期機(jī)器周期總線周期8.堆棧是內(nèi)存中()。先進(jìn)先出的ROM區(qū)域后進(jìn)先出的ROM區(qū)域先進(jìn)先出的RAM區(qū)域后進(jìn)先出的RAM區(qū)域9、計(jì)算機(jī)中廣泛應(yīng)用的RS-232C實(shí)質(zhì)上是一種()。串行接口芯片串行通信規(guī)程(協(xié)議)串行通信接口標(biāo)準(zhǔn)系統(tǒng)總線標(biāo)準(zhǔn)5--1文案大全 實(shí)用標(biāo)準(zhǔn)文檔10、高速緩沖存儲(chǔ)器(CACHE)一般是由()芯片組成。SRAMDRAMROMEPROM11、鼠標(biāo)器是一種()。手持式的作圖部件手持式的光學(xué)字符識(shí)別設(shè)備手持式的座標(biāo)定位部件手持式掃描器12、傳送速度單位“bps”的含義是()。bytespersecondbitspersecondbaudpersecondbillionbytespersecond二、填空題:(每空1分,共12分)1、CPU在響應(yīng)中斷后,自動(dòng)關(guān)中。為了能實(shí)現(xiàn)中斷嵌套,在中斷服務(wù)程序中,CPU必須在后,開(kāi)放中斷。2、在計(jì)算機(jī)運(yùn)行的過(guò)程中,有兩股信息在流動(dòng),一股是,另一股則是。3、指令MOVBX,MASK[BP]是以作為段寄存器。4、指令REPECMPSB停止執(zhí)行時(shí),表示找到或。5、設(shè)CF=0,(BX)=7E15H,(CL)=03H,執(zhí)行指令RCLBX,CL后,(BX)=,(CF)=,(CL)=。6、在8088CPU中,一個(gè)總線周期是。7、8253定時(shí)/計(jì)數(shù)器有個(gè)獨(dú)立的16位計(jì)數(shù)器,每個(gè)計(jì)數(shù)器都可按二進(jìn)制或來(lái)計(jì)數(shù)。三、程序設(shè)計(jì)(共40分)1.(10分)假設(shè)X和X+2單元與Y和Y+2單元中分別存放的是兩個(gè)雙字有符號(hào)數(shù)據(jù)A和B(X、Y為低位字)。閱讀以下程序段,并回答問(wèn)題。MOVDX,X+2MOVAX,XADDAX,XADCDX,X+2CMPDX,Y+2JLL2JGL1CMPAX,YJBEL25--2文案大全 實(shí)用標(biāo)準(zhǔn)文檔L1:MOVAX,1JMPEXITL2:MOVAX,2EXIT:HLT1)該程序段所完成的操作是什么?2)AX=1和AX=2分別說(shuō)明了什么?3)若JBEL2改為JBL2,在操作結(jié)果上有什么區(qū)別?2.(15分)自BUF單元開(kāi)始存放了10個(gè)16位的無(wú)符號(hào)數(shù)Ai,試編程完成對(duì)這10個(gè)數(shù)求累加和,并將和存入SUM單元中(設(shè)和不大于65535)。3.(15分)在S1單元開(kāi)始的存儲(chǔ)區(qū)域中,存放26個(gè)以ASCⅡ碼表示的字符,請(qǐng)編寫(xiě)程序段,將它們依次傳送到S2單元開(kāi)始的存儲(chǔ)區(qū)域中。5—3文案大全 實(shí)用標(biāo)準(zhǔn)文檔四、綜合題(30分)下圖為一微機(jī)系統(tǒng)電路原理圖,系統(tǒng)中由一片EPROM2764和一片SRAM6264構(gòu)成了程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器,用一片并行接口芯片8255A與一個(gè)8位ADC的接口來(lái)控制ADC的工作并傳送數(shù)據(jù)。ADC的START是A/D轉(zhuǎn)換啟動(dòng)信號(hào),EOC是轉(zhuǎn)換結(jié)束信號(hào),OE是A/D轉(zhuǎn)換數(shù)據(jù)輸出允許信號(hào)。請(qǐng)分析電路原理圖并回答下列問(wèn)題:1)系統(tǒng)中程序存儲(chǔ)器的容量是2)系統(tǒng)中數(shù)據(jù)存儲(chǔ)器的容量是3)若要求2764的地址范圍為02000H~03FFFH,6264的地址范圍為06000H~07FFFH,8255A各端口的地址范圍為8000H~8003H,請(qǐng)采用全譯碼法完成圖中數(shù)據(jù)線、地址線、控制線和其它有關(guān)器件端點(diǎn)的連線(可自行添加邏輯電路)。8088主控板27648255A626474LS1388位ADCD0~D7RDWRA0~A12A0~A12D0~D7OED0~D7OEWECECEY0Y1Y2Y3Y4Y5Y6Y7ABCG2AG2BG1A0~A12A13A14A15A16A17A18A19D0~D7MEMWMEMRIORIOWVXA0A1EOCOESTARTDO~D7PC5PC3PC2PA0~PA7CE4)若8255A采用方式0與8位ADC接口,請(qǐng)按流程圖的要求編寫(xiě)CPU通過(guò)8255A控制ADC轉(zhuǎn)換,然后再輸入數(shù)據(jù)并存放入數(shù)據(jù)緩沖區(qū)BUFFER單元中的程序段。5—4文案大全 實(shí)用標(biāo)準(zhǔn)文檔8255A工作方式控制字如下所示:8255初始化輸出啟動(dòng)信號(hào)  輸入EOC信號(hào)EOC=1?輸出OE信號(hào)PA口輸入數(shù)據(jù)存入內(nèi)存NOYES5—5文案大全 實(shí)用標(biāo)準(zhǔn)文檔學(xué)年第學(xué)期微機(jī)原理及應(yīng)用(A)課程試卷卷17班級(jí)姓名得分任課教師一、選擇題:(每題1.5分,共18分)1、在以查詢(xún)方式與I/O端口交換數(shù)據(jù)時(shí),外設(shè)準(zhǔn)備好的狀態(tài)信息是通過(guò)()提供給CPU進(jìn)行查詢(xún)的。數(shù)據(jù)總線地址總線控制總線中斷請(qǐng)求線2、CPU與某個(gè)外設(shè)交換信息時(shí),通常需要有以下一些信號(hào)()。數(shù)字量,開(kāi)關(guān)量,狀態(tài)信號(hào)數(shù)據(jù),控制,狀態(tài)模擬量,控制,狀態(tài)信號(hào)模擬,數(shù)字,狀態(tài)信號(hào)3、在DMA工作方式時(shí),CPU交出總線控制權(quán),而處于()狀態(tài)。等待暫停保持中斷處理4、8088/8086CPU有一個(gè)與存儲(chǔ)器完全獨(dú)立的空間供連接輸入/輸出(I/O)設(shè)備使用,占有的I/O地址空間最大可達(dá)()。256字節(jié)512字節(jié)64K字節(jié)128K字節(jié)5、在用端口尋址方式尋址外設(shè)的CPU中,區(qū)分對(duì)外設(shè)還是對(duì)內(nèi)存操作是由()決定的。軟件包數(shù)據(jù)線控制線地址線6、8088/8086在響應(yīng)可屏蔽中斷請(qǐng)求時(shí)()。INTA輸出一個(gè)負(fù)脈沖,將中斷類(lèi)型碼從AD0~AD7讀入INTA輸出兩個(gè)負(fù)脈沖,在第二個(gè)負(fù)脈沖時(shí)讀取中斷類(lèi)型碼INTA輸出一個(gè)負(fù)脈沖后,進(jìn)行一次I/O讀周期,讀取中斷類(lèi)型碼INTA輸出一個(gè)負(fù)脈沖,同時(shí)提供I/O讀控制信號(hào),讀中斷類(lèi)型碼7、并行接口芯片8255A工作于選通方式時(shí),通常作為控制和狀態(tài)的端口是()。PA口PB口PC口控制口8、CPU對(duì)兩個(gè)無(wú)符號(hào)8位二進(jìn)制數(shù)進(jìn)行減法運(yùn)算后,結(jié)果為00001101;且進(jìn)位位為“1”,溢出位為“1”,符號(hào)位為“0”,此結(jié)果的十進(jìn)制數(shù)應(yīng)為()13-13-243溢出5--1文案大全 實(shí)用標(biāo)準(zhǔn)文檔9、堆棧是用于()。存放CPU寄存器的內(nèi)容數(shù)據(jù)高速存取存放常數(shù)表格存放常用子程序10、8088/8086CPU中程序計(jì)數(shù)器(PC)中存放的是()。指令指令地址操作數(shù)操作數(shù)地址11、CPU用減法指令對(duì)兩個(gè)補(bǔ)碼表示的帶符號(hào)數(shù)6BH(被減數(shù))和0C7H(減數(shù))進(jìn)行減法運(yùn)算后,標(biāo)志位CF、SF和OF分別為()。0,0,00,1,11,0,01,1,112、串行接口芯片8251A在接收過(guò)程中,通常用以向CPU申請(qǐng)中斷是引腳()。DCRTXRDYRXDRXRDY二、填空題:(每空1分,共12分)1、要構(gòu)成一個(gè)完整的計(jì)算機(jī)系統(tǒng),除了需要基本的計(jì)算機(jī)外,還需要使用和管理計(jì)算機(jī)的。2、利用CMP指令比較兩個(gè)有符號(hào)數(shù)的大小時(shí),常用和標(biāo)志。3、傳送指令MOVAX,[2000]中對(duì)源操作數(shù)的尋址方式是。4、在8086/8088CPU中,每個(gè)總線周期通常由狀態(tài)組成,但在存儲(chǔ)器或I/O端口工作速度低的情況下,CPU自動(dòng)插入狀態(tài)。5、8253定時(shí)/計(jì)數(shù)器是從預(yù)置值開(kāi)始計(jì)數(shù),故計(jì)數(shù)器按二進(jìn)制計(jì)100個(gè)數(shù)回零中斷,預(yù)置值應(yīng)為。6、當(dāng)計(jì)算機(jī)進(jìn)入DMA方式傳送數(shù)據(jù)時(shí),CPU處于狀態(tài)。7、8259A中斷控制器可管理級(jí)外部中斷,通過(guò)級(jí)聯(lián)可擴(kuò)展至級(jí)。三、程序設(shè)計(jì)(共40分)1.(10分)閱讀下列程序段,并填空:MOVSI,OFFSETBUFMOVCH,0MOVCL,[SI]INCSIXORBX,BXREPT0:MOVDH,08MOVAL,[SI]5--2REPT1:RORAL,1文案大全 實(shí)用標(biāo)準(zhǔn)文檔JNCNEXTINCBXNEXT:DECDHJNZREPT1INCSILOOPREPT0MOVCOUNT,BXHLT1)該程序所完成的功能是:2)在BUF單元中存放的是:2.(15分)設(shè)在XX單元中存放著X的值,函數(shù)Y的值存放在YY單元中,試編程實(shí)現(xiàn)下列符號(hào)函數(shù)。1X>0Y=0X=0-1X<03.(15分)內(nèi)存中自TABLE開(kāi)始的40個(gè)字單元中存放著自然數(shù)0~39的立方值。對(duì)于FIRST單元中的任一個(gè)數(shù)X(0≤X≤39),要求編程查表找出X的立方值,并存入SECOND字單元中。5--3四、綜合題(30分)文案大全 實(shí)用標(biāo)準(zhǔn)文檔下圖為一CPU采用查詢(xún)方式與ADC接口的電路原理圖,電路的工作原理如下:CPU先通過(guò)U3(端口地址為04H)發(fā)出啟動(dòng)ADC的控制信號(hào)Start及多路開(kāi)關(guān)的選擇代碼,然后通過(guò)U2(端口地址為02H)查詢(xún)ADC是否轉(zhuǎn)換結(jié)束,ADC轉(zhuǎn)換結(jié)束時(shí),將信號(hào)OE置1,同時(shí)將轉(zhuǎn)換數(shù)據(jù)送鎖存器鎖存。當(dāng)CPU查詢(xún)到ADC轉(zhuǎn)換結(jié)束信號(hào)EOC=1后,從U1(端口地址為03H)讀入ADC轉(zhuǎn)換數(shù)據(jù),并存入以DATA為首地址的內(nèi)存單元中。請(qǐng)根據(jù)接口的電路圖及工作原理回答下列問(wèn)題:8088系統(tǒng)總線U2U3U18位ADC鎖存器多路開(kāi)關(guān)+++U4DBABCA0~A7Start一路模擬量EOCD3D0D1D2D0D04H02H03HM1.(16分)電路圖中下列引線和器件的名稱(chēng)或功能是:A為CPU的控制線連接;B為CPU的控制線連接;C為CPU的控制線連接;D為信號(hào);U1為器;U2為器;U3為器;U4為器;5—4文案大全 實(shí)用標(biāo)準(zhǔn)文檔1.(14分)閱讀下列程序段及程序注釋?zhuān)⑼瓿蓪?duì)程序的填空:START:MOVDL,;設(shè)置啟動(dòng)AD及第0路開(kāi)關(guān)代碼DI,DATA;數(shù)據(jù)存放區(qū)首址的偏移量DICLDAGAIN:MOVAL,DLANDAL,0EFH;使D4=0OUT[04h],AL;停止A/D轉(zhuǎn)換CALLDELAYMOVAL,DL;啟動(dòng)AD及選擇第0通道;輸出通道代碼POLL:;輸入狀態(tài)信息SHRAL,1POLL;OE11,循環(huán)等待;OE=1,輸入數(shù)據(jù)STOSB;存入內(nèi)存INCDL;修改輸入通道代碼AGAIN;八路未測(cè)量完,則循環(huán)5--5學(xué)年第學(xué)期微機(jī)原理及應(yīng)用(A)課程試卷文案大全 實(shí)用標(biāo)準(zhǔn)文檔卷18班級(jí)姓名得分任課教師一、選擇題:(每題1.5分,共18分)1、在DMA控制方式下由()控制數(shù)據(jù)傳送。CPU軟件存儲(chǔ)器管理部件專(zhuān)用硬件控制器2、在微型機(jī)中,往往將外設(shè)的狀態(tài)作為一種數(shù)據(jù)輸入,CPU的控制命令作為一種數(shù)據(jù)輸出,為了將控制、狀態(tài)與數(shù)據(jù)信息區(qū)分開(kāi),往往采用()進(jìn)行分別傳送。不同的信號(hào)線不同的控制開(kāi)關(guān)不同的端口地址不同的中斷服務(wù)子程序3、CPU響應(yīng)DMA請(qǐng)求后,由于(),所以,一旦DMA結(jié)束,CPU可以立即繼續(xù)執(zhí)行原程序。IP內(nèi)容進(jìn)棧受保護(hù)IP和所有寄存器內(nèi)容進(jìn)棧受保護(hù).CPU進(jìn)入保持狀態(tài),IP和所有寄存器內(nèi)容保持不變IP內(nèi)容進(jìn)棧保護(hù),所有寄存器內(nèi)容因CPU進(jìn)入保持狀態(tài)保持不變4、系統(tǒng)有多個(gè)中斷源,而只有單一中斷請(qǐng)求線的情況下,為了能處理多重中斷流程,在保護(hù)現(xiàn)場(chǎng)和中斷服務(wù)之間必須加入()。屏蔽本級(jí)中斷屏蔽低級(jí)中斷屏蔽本級(jí)和低級(jí)中斷屏蔽本級(jí)和低級(jí)中斷,并開(kāi)中斷5、當(dāng)多個(gè)外設(shè)同時(shí)產(chǎn)生中斷時(shí),CPU響應(yīng)中斷的順序受()的影響。中斷優(yōu)先級(jí)中斷允許標(biāo)志中斷屏蔽碼中斷優(yōu)先級(jí)和中斷屏蔽碼6、異步串行傳送的8位數(shù)為33H時(shí),采用偶校驗(yàn)位1位,停止位1位,則串行口發(fā)送的幀信息為()。000110011011000110011001100110001001100110017、指令周期是指()。CPU從主存中取出一條指令的時(shí)間CPU執(zhí)行一條指令的時(shí)間CPU主頻的倒數(shù)CPU主存中取出一個(gè)字節(jié)的時(shí)間8、PentiumII是帶MMX技術(shù)的Pentium芯片,這里MMX技術(shù)是指()。多媒體技術(shù)多工作模式技術(shù)多路調(diào)制技術(shù)多存儲(chǔ)管理技術(shù)6--19、CD-ROM光盤(pán)用表面的()來(lái)表示“0”和“1”。有無(wú)凹坑粗線不等材料不同有無(wú)通孔文案大全 實(shí)用標(biāo)準(zhǔn)文檔10、若(AL)=0C6H,(CL)=03H,執(zhí)行指令SARAL,CL后,AL的內(nèi)容為()。18H28H48H0F8H11、當(dāng)CPU與外設(shè)工作不同步的情況下,只能采用()數(shù)據(jù)傳送方式。無(wú)條件程序查詢(xún)DMACPU與內(nèi)存12、在用發(fā)光二極管(LED)作為微機(jī)的輸出設(shè)備時(shí),通常采用()輸出信息。無(wú)條件方式查詢(xún)方式中斷方式DMA方式二、填空題:(每空格1分,共12分)1、在微型計(jì)算機(jī)中,外部信息的傳送都是通過(guò)總線進(jìn)行的,故微型計(jì)算機(jī)的外部結(jié)構(gòu)特點(diǎn)是。2、字符串傳送指令所能傳送的數(shù)據(jù)塊的最大長(zhǎng)度為。3、傳送指令MOVBX,COUNT[SI][BX]中對(duì)源操作數(shù)的尋址方式是。4、8088CPU對(duì)存儲(chǔ)器進(jìn)行讀寫(xiě)操作時(shí),在總線周期的T1狀態(tài)時(shí)輸出。5、半導(dǎo)體存儲(chǔ)器從使用功能上可分兩大類(lèi),用于存放程序或常數(shù)的是,用于存放數(shù)據(jù)或中間結(jié)果的是。6、在微機(jī)系統(tǒng)中有多個(gè)中斷源的情況下,需對(duì)每個(gè)中斷源確定。7、若要8255A的PB口以中斷方式輸出數(shù)據(jù),則PB口應(yīng)被設(shè)置為方式。8、執(zhí)行查表指令XLAT前,必須將表首址送,指令執(zhí)行后,查表結(jié)果在中。9、設(shè)某個(gè)外設(shè)的中斷類(lèi)型碼為20H,則該外設(shè)中斷服務(wù)程序的入口地址存放的內(nèi)存單元首址CS=,IP=。三、程序設(shè)計(jì)(共40分)1.(10分)閱讀下列程序段,寫(xiě)出程序執(zhí)行后所完成的功能.6--2DATASEGMENTADB1,2,3,4,5,6,7,8,9,10BDB1,2,3,4,5,6,7,8,9,10SDW?DATAENDSCODESEGMENTASSUMECS:CODE,DS:DATA文案大全 實(shí)用標(biāo)準(zhǔn)文檔BEGIN:MOVAX,DATAMOVDS,AXMOVDX,0MOVAH,0MOVSI,0MOVCX,10LOP1:MOVAL,[SI+A]MUL[SI+B]ADDDX,AXINCSILOOPLOP1MOVS,DXCODEENDS該程序所完成的功能是:2.(15分)設(shè)在BUF單元開(kāi)始的內(nèi)存單元中,存放著COUNT個(gè)單字節(jié)的有符號(hào)數(shù)的數(shù)據(jù)塊,試編一程序用以統(tǒng)計(jì)該數(shù)據(jù)塊中負(fù)元素的個(gè)數(shù),結(jié)果存入RESULT單元中。6--33.(15分)內(nèi)存中自TABLE開(kāi)始的82個(gè)單元中存放著自然數(shù)0~40的立方值。對(duì)應(yīng)于FIRST單元中的任意一個(gè)數(shù)X(0≤X≤40),要求編程查表找出X的立方值,并存入內(nèi)存SECOND開(kāi)始的兩個(gè)相鄰的單元中。文案大全 實(shí)用標(biāo)準(zhǔn)文檔四、綜合題(30分)下圖為一8088微機(jī)應(yīng)用系統(tǒng)電路原理圖,系統(tǒng)中擴(kuò)展了2764、6264和一片可編程并行接口芯片8255。兩片四位二進(jìn)制計(jì)數(shù)器芯片SN7493組成了一個(gè)八位計(jì)數(shù)器,用8255A與八位二進(jìn)制計(jì)數(shù)器進(jìn)行接口。8255的PA口作為計(jì)數(shù)值的輸入口,計(jì)數(shù)值輸入后,由8255的PB口將計(jì)數(shù)值送至八個(gè)LED發(fā)光二極管進(jìn)行顯示。計(jì)數(shù)器的計(jì)數(shù)由8255的PC7來(lái)控制,當(dāng)PC7為“1”時(shí),允許計(jì)數(shù)脈沖加入計(jì)數(shù)器,PC7為“0”時(shí),禁止計(jì)數(shù)脈沖加入計(jì)數(shù)器。故可在PC7端輸出一個(gè)1秒的高電平,即可控制計(jì)數(shù)器對(duì)輸入脈沖頻率的測(cè)量。8255的PC0接到計(jì)數(shù)器的復(fù)位端R。在每次計(jì)數(shù)前,由PC0輸出一正脈沖,使得計(jì)數(shù)器清零復(fù)位。6--42764626482557493*2ABCG2AG2BG1Y0Y1Y2Y3Y4Y774LS138ABCG2AG2BG1Y0Y1Y774LS138A13A14A15IO/M>1A16A17A18A19A2A3A4>1A5A6A7IO/MCBABDBD0~D7A0A1RDWRCSD0~D7A0~A12D0~D7A0~A12OECSCSOEWEAinR&PC7PC0PA0~PA7PB0~PB7輸入脈沖文案大全 實(shí)用標(biāo)準(zhǔn)文檔1.(16分)請(qǐng)根據(jù)系統(tǒng)電路原理圖及系統(tǒng)工作原理回答下列問(wèn)題:1)在系統(tǒng)中,芯片2764的作用是,6264的作用是;2)2764的容量為,地址范圍是;3)6264的容量為,地址范圍是;4)8255各端口的地址分別為:PA口;PB口;PC口;控制口;6--5附:8255的方式控制字的格式如下:D7A組方式選擇00=方式001=方式11′=方式2D6D5D4D3D2D1D0方式選擇位D7=1A口輸入輸出選擇1=輸入0=輸出C口高位輸入輸出選擇1=輸入0=輸出B組方式選擇0-方式01-方式1B口輸入輸出選擇1=輸入0=輸出C口低位輸入輸出選擇1=輸入0=輸出文案大全 實(shí)用標(biāo)準(zhǔn)文檔2.(14分)閱讀以下頻率計(jì)控制程序及程序注釋并對(duì)程序填空:START:MOVAL,;設(shè)置8255方式字OUT,ALLOP:MOVAL,;PC0置位,計(jì)數(shù)器復(fù)位OUT控制口,ALMOVAL,;PC0復(fù)位,OUT控制口,ALMOVAL,;PC7置位,開(kāi)始輸入脈沖OUT控制口,ALCALLDELAY1S;延時(shí)1秒ANDAL,7FH;PC7復(fù)位,停止輸入脈沖OUT控制口,AL;8255輸入計(jì)數(shù)值;8255輸出計(jì)數(shù)值JMPLOP學(xué)年第學(xué)期微機(jī)原理及應(yīng)用(A)課程試卷卷19班級(jí)姓名得分任課教師一、選擇題:(每題1.5分,共18分)1、當(dāng)采用()輸入操作情況下,除非計(jì)算機(jī)等待數(shù)據(jù)準(zhǔn)備好,否則無(wú)法傳送數(shù)據(jù)給計(jì)算機(jī)。無(wú)條件傳送方式程序查詢(xún)方式中斷方式DMA方式2、在大部分微型機(jī)中,往往將外設(shè)的狀態(tài)作為一種數(shù)據(jù)輸入,CPU的控制命令作為一種數(shù)據(jù)輸出。為了將控制信息、狀態(tài)信息與數(shù)據(jù)信息區(qū)分開(kāi),往往采用()進(jìn)行分別傳送。不同的信號(hào)線不同的控制開(kāi)關(guān)不同的端口地址不同的中斷服務(wù)子程序文案大全 實(shí)用標(biāo)準(zhǔn)文檔3、8088CPU在最小模式下對(duì)I/O進(jìn)行讀操作時(shí),有效控制信號(hào)為()。RD低電平,WR三態(tài),IO/M低電平RD三態(tài),WR低電平,IO/M高電平RD低電平,WR三態(tài),IO/M高電平RD三態(tài),WR低電平,IO/M低電平4、24根地址線的尋址范圍為()字節(jié)。64K1M4M16M5、在主存儲(chǔ)器和CPU之間增設(shè)高速緩沖存儲(chǔ)器Cache的目的是()。擴(kuò)大主存儲(chǔ)器的容量解決CPU與主存儲(chǔ)器之間的速度匹配問(wèn)題擴(kuò)大CPU中通用寄存器的數(shù)量既擴(kuò)大主存儲(chǔ)器的容量又?jǐn)U大CPU中通用寄存器的數(shù)量6、運(yùn)算器的核心部件是()。加法器累加寄存器多路開(kāi)關(guān)算邏運(yùn)算單元7、若(AL)=84H,執(zhí)行指令XORAL,AL后,AL的內(nèi)容為()。84H7BH00H48H8、在串行數(shù)據(jù)通信接收端安裝MODEM是為了()。把并行數(shù)據(jù)轉(zhuǎn)換成串行數(shù)據(jù)把串行數(shù)據(jù)轉(zhuǎn)換成并行數(shù)據(jù)把數(shù)字信號(hào)轉(zhuǎn)換成模擬信號(hào)把模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)9、8088/8086CPU響應(yīng)一個(gè)可屏蔽硬件中斷的條件是()。IF=0,INTR=0IF=0,INTR=1IF=1,INTR=0IF=1,INTR=15--110、直接存儲(chǔ)器存取方式是一種由()執(zhí)行I/O交換的傳送方式。程序軟件硬件中斷11、在寄存器AX、BX中有兩個(gè)帶符號(hào)數(shù)A、B,利用CMPAX,BX指令比較兩者的大小,若A>B,則標(biāo)志位的狀態(tài)應(yīng)是()。OF=1,SF=1OF=0,SF=1OF=1,SF=0CF=1,SF=012、當(dāng)用多片8259A可編程中斷控制器級(jí)聯(lián)時(shí),最大可構(gòu)成()級(jí)優(yōu)先中斷管理系統(tǒng),而無(wú)須外加電路。3264128256二、填空題:(每空1分,共12分)1、Intel8088是準(zhǔn)16位微處理器,它的內(nèi)部結(jié)構(gòu)是16位的,但只有八根。2、在字符串傳送指令中,若要求每一次串操作后,自動(dòng)增加地址指針,則標(biāo)志DF=。3、指令MULSre通常用于的運(yùn)算,而IMULSre通常用于文案大全 實(shí)用標(biāo)準(zhǔn)文檔的運(yùn)算。1、用指令CMP比較兩個(gè)帶符號(hào)數(shù)A,B的大小時(shí),A>B的條件是。2、在存儲(chǔ)器對(duì)應(yīng)的輸入/輸出方式中,CPU把一個(gè)外設(shè)端口作為來(lái)對(duì)待。3、CPU對(duì)一個(gè)LED顯示器電路輸出數(shù)據(jù)可采用傳送方式。4、可編程并行接口芯片8255A中有個(gè)輸入/輸出端口。5、堆棧段的段基值存入寄存器,段內(nèi)偏移值存入寄存器中。6、8253內(nèi)部寄存器地址為個(gè)I/0端口,其中個(gè)是定時(shí)/計(jì)數(shù)通道端口。三、程序設(shè)計(jì)(共40分)1.(12分)閱讀下列程序段,并回答問(wèn)題。START:MOVAL,[ADRM]MOVBL,[ADRN]CMPAL,BLJZNEXTJAGREATXCHGAL,BLGREAT:XORAH,AHDIVBLANDAH,AH5--2JZNEXTMOVAL,BLMOVBL,AHJMPGREATNEXT:MOVCL,BLMOVRESULT,CLHLT1).若ADRM和ADRN單元中的數(shù)據(jù)分別為1BH和0CH,則RESULT單元中的數(shù)據(jù)為;2)若ADRM和ADRN單元中的數(shù)據(jù)分別為21H和16H,則RESULT單元中的數(shù)據(jù)為;3)該段程序完成的功能是:。2.(14分)在內(nèi)存數(shù)據(jù)段從DATA開(kāi)始的兩個(gè)存儲(chǔ)單元中分別存放了兩個(gè)八位無(wú)符號(hào)數(shù),試編程比較它們的大小,并將大者傳送到MAX單元中。文案大全 實(shí)用標(biāo)準(zhǔn)文檔3.編程將FACTOR中的一個(gè)十六位無(wú)符號(hào)數(shù)乘以7后,仍存放在原來(lái)兩個(gè)連續(xù)的單元中(設(shè)乘積仍為16位數(shù))。(14分)5--3四、綜合題(30分)下圖為一微機(jī)硬件系統(tǒng)原理圖。在系統(tǒng)中擴(kuò)展了一片6116芯片,一片2764芯片,構(gòu)成了系統(tǒng)的存儲(chǔ)器;擴(kuò)展了一片并行接口芯片8255及四個(gè)共陰極7段LED顯示器構(gòu)成了顯示器接口電路。請(qǐng)根據(jù)系統(tǒng)電路原理圖以及顯示器控制程序,回答下列問(wèn)題:8088系統(tǒng)總線LS138ABCG2AG2BG1276461168255A0~A12A0~A10A0~A12D0~D7D0~D7D0~D7D0~D7OEWEOECSCSY0Y1Y2Y3Y4Y5Y6Y7A13A14A15+5VA0A1PA7~PA0八倒相驅(qū)動(dòng)4LEDPB0PB1PB2PB3倒相驅(qū)動(dòng)CSRDWRMRDMWRIORDIOWR文案大全 實(shí)用標(biāo)準(zhǔn)文檔;數(shù)據(jù)段SSEGCODEDB0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8HDB80H,98H,88H,83H,0C6H,0A1H,86H,8EHFOURBYTEDB21H,78H,34H,67H;程序段#1MOVAL,80H#2MOVDX,控制口地址#3OUTDX,AL#4CLD#5AGAIN:MOVSI,OFFSETFOURBYTE#6MOVBX,OFFSETSSEGCODE#7MOVAH,01H#8MOVCX,04H#9DISP:LODSB5--4#10ANDAL,OFH#11XLAT#12MOVDX,PA口地址#13OUTDX,AL#14MOVAL,AH#15MOVDX,PB口地址#16OUTDX,AL#17CALLDELAY2MS#18ROLAH#19LOOPDISP#20JMPAGAIN1.(10分)2764的容量是:,地址范圍是:6116的容量是:,地址范圍是:8255各端口地址是:PA口,PB口,文案大全 實(shí)用標(biāo)準(zhǔn)文檔PC口,控制口2.(4分)8255A的PA口、PB口分別工作在何種方式?3.(4分)對(duì)于圖示電路,當(dāng)顯示程序執(zhí)行時(shí),顯示器自左向右顯示的字符分別為:4.(6分)若采用共陽(yáng)極LED顯示器,而程序不改動(dòng),則接口電路硬件需如何改動(dòng)?若采用共陽(yáng)極LED顯示器,而硬件不改動(dòng),則軟件的數(shù)據(jù)段和程序段如何改動(dòng)?5.(6分)若將原四位共陰極LED顯示器,擴(kuò)展至八位共陰極LED顯示器,則硬件需改動(dòng)的是:軟件需改動(dòng)的是:學(xué)年第學(xué)期微機(jī)原理及應(yīng)用(A)課程試卷卷20班級(jí)姓名得分任課教師一、選擇題:(每題1.5分,共18分)1、8086/8088CPU經(jīng)加電復(fù)位后,執(zhí)行第一條指令的地址是()。00000HFFFFFHFFFF0H0FFFFH2、在用端口尋址方式尋址外設(shè)的CPU中,區(qū)分對(duì)外設(shè)還是對(duì)內(nèi)存操作是由()決定的。軟件包數(shù)據(jù)線控制線地址線3、CPU響應(yīng)中斷后,通過(guò)()完成斷點(diǎn)的保護(hù)。執(zhí)行開(kāi)中斷指令執(zhí)行關(guān)中斷指令執(zhí)行PUSH指令內(nèi)部自動(dòng)操作4、常用的虛擬存儲(chǔ)系統(tǒng)由()兩級(jí)存儲(chǔ)器組成主存—輔存CACHE—主存CACHE—輔存通用寄存器—主存5、RS-232C標(biāo)準(zhǔn)規(guī)定其邏輯“1”電平為()。-5V~0V0V~+5V-3V~-25V+3V~+15V6、8088CPU輸入/輸出指令可尋址外設(shè)端口的數(shù)量最大可達(dá)()個(gè)。12825616K64K文案大全 實(shí)用標(biāo)準(zhǔn)文檔7、用減法指令對(duì)兩個(gè)補(bǔ)碼表示的常數(shù)C7H(被減數(shù))和6BH(減數(shù))進(jìn)行減法運(yùn)算后,進(jìn)位位CF,符號(hào)位SF,溢出位OF分別為()。1,0,00,0,10,1,00,0,08、Cache—主存層次是為了彌補(bǔ)()。主存速度的不足外存速度的不足主存容量的不足主存速度和容量的不足9、若現(xiàn)堆棧的棧頂?shù)刂窞?782H:0F20H現(xiàn)從堆棧中彈出了2個(gè)字的數(shù)據(jù)后,則SS和SP的內(nèi)容為()。1782H和0F22H1782H和0F24H1782H和0F1CH1782H和0F1EH10、8088/8086CPU在響應(yīng)8259的中斷過(guò)程中,連續(xù)執(zhí)行兩個(gè)INTA中斷響應(yīng)周期,第二個(gè)中斷響應(yīng)周期是()。5--1讀取8259中OCW3的內(nèi)容讀取8259中斷屏蔽寄存器的內(nèi)容讀取中斷類(lèi)型碼清除中斷申請(qǐng)寄存器IRRi11、常用的模/數(shù)轉(zhuǎn)換的方法有下列四種,若要求轉(zhuǎn)換速度不高而抗干擾性能好,則應(yīng)采用()。計(jì)數(shù)器式ADC雙積分式ADC逐次逼近式ADC高速并行轉(zhuǎn)換式ADC12、一個(gè)有16個(gè)字的數(shù)據(jù)區(qū),它的起始地址為70A0H:9DF6H,它的最后一個(gè)字的存儲(chǔ)單元的物理地址是()。10E96H7A814H7A818H10EB6H二、填空題:(每空1分,共12分)1、在8088CPU中,為了減少CPU的等待取指所需的時(shí)間,故設(shè)置了。2、執(zhí)行指令A(yù)NDAX,AX后,AX中的內(nèi)容,CF=。3、在存儲(chǔ)器對(duì)應(yīng)的輸入/輸出方式中,不需要指令。4、在8088CPU中,區(qū)分對(duì)內(nèi)存還是對(duì)I/O操作的控制線是,當(dāng)該線為電平時(shí)表示對(duì)I/O進(jìn)行讀寫(xiě)操作。5、若(AL)=B3H,(CL)=09H,(CF)=1,執(zhí)行指令RCRAL,CL后,(AL)=,(CF)=。6、8086/8088CPU在執(zhí)行指令OUT6CH,AL時(shí),除了在地址線上送出端口地址信息外,相應(yīng)的控制線為低電平,為高電平。7、當(dāng)CPU響應(yīng)外設(shè)提出的中斷申請(qǐng)后,除了要保護(hù)現(xiàn)場(chǎng)及轉(zhuǎn)向中斷服務(wù)程序入口地址外,還必須。文案大全 實(shí)用標(biāo)準(zhǔn)文檔1、8255A的PA端口,PB端口工作于選通方式時(shí),這兩個(gè)端口的選通控制線是由提供的。三、程序設(shè)計(jì)(共40分)1.(10分)閱讀下列程序段ADB0H,0F1H,3H,0D3H,0C1H,0H,7H,8H,9H,0F0HBDB?CDB?DDB?BEGIN:XORAL,ALMOVB,ALMOVC,AL5--2MOVD,ALLEASI,AMOVCX,10LOP1:LODSBORAL,ALJSX1JZX2INCBJMPNEXTX1:INCCJMPNEXTX2:INCDNEXT:LOOPLOP1HLT1).程序段執(zhí)行后B中的內(nèi)容為,C中的內(nèi)容為,D中的內(nèi)容為。2).該程序段所完成的功能是:2.(15分)有一串L個(gè)字符的字符串,存放在ASCSTR單元開(kāi)始的存儲(chǔ)區(qū)中,要求在字符串中查找空格字符(ASCⅡ碼為20H),若在串中找到空格字符,則將AH置1;若在串中未找到空格字符,則將AH置0。試編一程序完成該功能。文案大全 實(shí)用標(biāo)準(zhǔn)文檔2.(15分)若在BUF單元開(kāi)始的連續(xù)兩個(gè)字單元中存放的內(nèi)容是0012H和0034H,要求編程把它們裝配在一起形成1234H并存入BUF字單元中。5--3四、綜合題(30分)下圖為用8253監(jiān)視一個(gè)生產(chǎn)流水線的示意圖。圖中工件從光源與光敏電阻之間通過(guò)時(shí),在晶體管的發(fā)射極上會(huì)產(chǎn)生一個(gè)脈沖,此脈沖作為8253通道0計(jì)數(shù)器的計(jì)數(shù)輸入CLK0,當(dāng)通道0計(jì)數(shù)滿(mǎn)50后,由OUT0輸出負(fù)脈沖,作為CPU的中斷申請(qǐng)信號(hào),在中斷服務(wù)程序中,啟動(dòng)8253通道1計(jì)數(shù)器工作,由OUT1連續(xù)輸出1000HZ的方波,驅(qū)動(dòng)揚(yáng)聲器發(fā)聲,持續(xù)5秒鐘后停止輸出。8088系統(tǒng)總線8253A0A1D0~D7D0~D7A0A1驅(qū)動(dòng)CSRDWRRDWRIO/MLS138ABCG2AG2BG1Y0Y1Y2Y3Y4Y5Y6Y7A2A3A4A5A6A7DQCP工件光源+5VCLK0GATE0+5V2.5MHZGATE1CLK1OUT1OUT0INTD0&WR光敏電阻/?文案大全 實(shí)用標(biāo)準(zhǔn)文檔請(qǐng)根據(jù)電路圖及工作原理回答下列問(wèn)題:1.(10分)若5253各端口地址為0A4H~0A7H,請(qǐng)完成對(duì)74LS138以及8253的片選端的連線。5--42.(20分)閱讀下列程序及程序注釋?zhuān)?duì)程序填空:(設(shè)計(jì)數(shù)器均按BCD方式計(jì)數(shù))主程序:MOVAL,;通道0初始化OUT0A7H,ALMOVAL,;置計(jì)數(shù)初值OUT,ALSTI;開(kāi)中斷LOP:HLTJMPLOP;等待中斷中斷服務(wù)程序:MOVAL,;將GATE1置1,啟動(dòng)計(jì)數(shù)OUT,ALMOVAL,;通道1初始化OUT0A7H,ALMOVAL,;置計(jì)數(shù)初值OUT0A5H,ALMOVAL,OUT0A5H,ALCALLDELAY5S;延時(shí)5秒MOVAL,;將GATE1清0,停止計(jì)數(shù)OUT,AL附:8253控制字為:文案大全 實(shí)用標(biāo)準(zhǔn)文檔D7D6D5D4D3D2D1D0計(jì)數(shù)器讀/寫(xiě)格式工作方式數(shù)制0二進(jìn)制00計(jì)數(shù)器000鎖存命令000方式01BCD01計(jì)數(shù)器101讀/寫(xiě)低字節(jié)001方式110計(jì)數(shù)器210讀/寫(xiě)高字節(jié)*10方式211計(jì)數(shù)器311讀/寫(xiě)先低后高*11方式3100方式4001方式55--5微機(jī)原理及應(yīng)用(A)課程試卷15參考答案一、選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.二、填空題:(每空1分,共12分)1.五2.變址尋址3.004.時(shí)鐘周期5.CPU控制線82886.IO/M高7.硬件8.87H1三、程序設(shè)計(jì)(共40分)1.1)(BUFA)=奇數(shù)(BUFB)=偶數(shù)2)(BUFA)=X1+1(BUFB)=X2+13)(BUFA)=X1(BUFB)=X22.START:LEASI,BUF3.START:LEASI,BUFMOVCL,[SI]MOVAL,[SI]INCSIMOVBL,ALMOVCH,[SI]SHLAL,1INCSIMOVBH,ALREP1:MOVAL,[SI]SHLAL,1ANDAL,OFHADDAL,BHMOV[SI],ALADDAL,BLINCSIADDAL,[SI+1]LOOPREP1MOV[SI+2],ALHLTJCBIIEMOV[SI+3],0JMPEXIT文案大全 實(shí)用標(biāo)準(zhǔn)文檔BIIE:MOV[SI+3],1EXIT:HLT一、綜合題(30分)1.RAM存儲(chǔ)容量:2KB,地址范圍:F9000H~F97FFH或F9800H~F9FFFHEPROM存儲(chǔ)容量為4KB地址范圍是FE000H~FEFFFH2.MOVAL,81H;置8255A方式字OUT83H,AL;控制口地址為83HMOVAL,05H;使PC2=1OUT83H,ALTESBY:INAL,82H;讀入C口狀態(tài),C口地址為82HTESTAL,40H;判PC6(BUSY狀態(tài))JNZTESBY;PC6=1,循環(huán)查詢(xún)MOVAL,[DATA];PC6=0,取打印字符OUT80H,AL;送PA口,A口地址為80HMOVAL,04H;使PC2=0OUT83H,ALINCAL;使PC2=1OUT83H,AL;選通打印機(jī)RET微機(jī)原理及應(yīng)用(A)課程試卷16參考答案一.選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.二.填空題:(每空1分,共12分)1.保護(hù)現(xiàn)場(chǎng)2.數(shù)據(jù)控制命令3.SS4.第一個(gè)不相等的字符CX=05.0F0A9H136.CPU從存儲(chǔ)器或I/O端口存取一個(gè)字節(jié)的時(shí)間7.3BCD三.程序設(shè)計(jì)(共40分)1.1)比較2A與B的大小2)AX=1說(shuō)明2A>B,AX=2說(shuō)明2A≤B3)JB指令排除兩數(shù)相等的可能2.MOVBX,OFFSETBUF3.LEASI,S1XORAX,AXLEADI,ES:S2MOVCX,10MOVCX,26LOP:ADDAX,[BX]CLDINCBXREPMOVSBINCBXHLTLOOPLOP文案大全 實(shí)用標(biāo)準(zhǔn)文檔MOVOFFSETSUM,AXHLT四.綜合題(30分)1)程序存儲(chǔ)器的容量是8KB2)數(shù)據(jù)存儲(chǔ)器的容量是8KB3)Y1Y3Y4MEMWMEMRIORIOWG2AG2BG12764CEOE6264CEWEOE8255CERDWR8088主控板A16A17A18úA194)MOVAL,98H;8255初始化MOVDX,8003HMOVDX,8000HOUTDX,ALINAL,DXMOVDX,8002H;啟動(dòng)ADCMOV[BUFFER],ALMOVAL,00HOUTDX,ALMOVAL,04HOUTDX,ALINAD:INAL,DX;輸入EOCTESTAL,20HJZINADMOVAL,08H;EOC=1,OUTDX,AL;使OE=1微機(jī)原理及應(yīng)用(A)課程試卷17參考答案一.選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.二.填空題:(每空1分,共12分)1.硬件系統(tǒng)軟件2.SFOF3.直接尋址4.4個(gè)TW5.減164H6.保持7.864三.程序設(shè)計(jì)(共40分)1.1)統(tǒng)計(jì)數(shù)據(jù)塊中各數(shù)據(jù)“1”的個(gè)數(shù);2)數(shù)據(jù)塊的長(zhǎng)度2.MOVAL,XX3.START:LEABX,TABLE文案大全 實(shí)用標(biāo)準(zhǔn)文檔CMPAL,0MOVAL,F(xiàn)IRSTJGEBIGPRMOVAH,0MOVYY,0FFHADDAL,ALJMPEXITADDBX,AXBIGPR:JEEQUPRMOVAX,[BX]MOVYY,1MOVSECOND,AXJMPEXITHLTEQUPR:MOVYY,0EXIT:HLT四.綜合題(30分)1.電路圖中引線和器件的名稱(chēng)或功能是:A為IO/M線;B為RD線;C為WR線;D為狀態(tài)線;U1為八緩沖器;U2為緩沖器;U3為鎖存器;U4為譯碼器;2.第二小題填空答案按先后次序依此為:0F8HLEAOUT[04H],ALINAL,[02H]JNCINAL,[03H]JNE微機(jī)原理及應(yīng)用(A)課程試卷18參考答案一.選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.文案大全 實(shí)用標(biāo)準(zhǔn)文檔二.填空題:(每空1分,共12分)1.三總線結(jié)構(gòu)2.64K3.基址+變址4.地址信息5.ROMRAM6.中斷優(yōu)先權(quán)7.18.BXAL9.0000H0080H三.程序設(shè)計(jì)(共40分)1.求1~10的平方之和2.START:MOVBX,OFFSETBUF3.START:LEABX,TABLEMOVCX,COUNTMOVAL,F(xiàn)IRSTMOVDX,0MOVAH,0LOP:MOVAL,[BX]ADDAL,ALCMPAL,0ADDBX,AXJGEPLUSMOVAX,[BX]INCDXMOVSECOND,AXPLUS:INCBXHLTLOOPLOPMOVRESULT,DXHLT四.綜合題(30分)1.1)芯片2764的作用是:程序存儲(chǔ)器,6264的作用是:數(shù)據(jù)存儲(chǔ)器2)2764的容量為:8KB,地址范圍是:84000H~85FFFH;3)6264的容量為:8KB,地址范圍是:88000H~89FFFH;4)8255端口地址為:PA口=04H;PB口=05H;PC口=06H,控制口=07H;2.第二小題填空答案按先后次序依此為:90H,07H,01H,00H,80H,INAL,04H;OUT05H,AL文案大全 實(shí)用標(biāo)準(zhǔn)文檔微機(jī)原理及應(yīng)用(A)課程試卷19參考答案一.選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.二.填空題:(每空1分,共12分)1.數(shù)據(jù)總線2.03.無(wú)符號(hào)數(shù)帶符號(hào)數(shù)4.SF⊕OF=05.存儲(chǔ)器的一個(gè)單元6.無(wú)條件7.38.SSSP9.43三.程序設(shè)計(jì)(共40分)1.1).當(dāng)ADRM和ADRN單元中的數(shù)據(jù)分別為1BH和0CH,則RESULT單元中的數(shù)據(jù)為03H;2)若ADRM和ADRN單元中的數(shù)據(jù)分別為21H和16H,則RESULT單元中的數(shù)據(jù)為0BH;3)該段程序完成的功能是:求最大公約數(shù)。2.LEABX,DATA3.MOVAX,F(xiàn)ACTORMOVAL,[BX]MOVBX,AXINCBXSHLAX,1CMPAL,BXMOVDX,AXJNCDONESHLAX,1DONE:MOVAL,[BX]ADDAX,DXMOVMAX,ALADDAX,BXHLTMOVFACTOR,AXHLT四.綜合題(30分)1.2764的容量是:8KB,地址范圍是:04000H~05FFFH6116的容量是:2KB,地址范圍是:08000H~083FFH8255各端口地址是:PA口2000H,PB口2001H,PC口2002H,控制口2003H2.8255的PA口、PB口均為輸出口,工作在方式03.顯示程序執(zhí)行時(shí),顯示器自左向右顯示的字符分別為:18474.文案大全 實(shí)用標(biāo)準(zhǔn)文檔若采用共陽(yáng)極LED顯示器,而程序不改動(dòng),則接口電路的段驅(qū)動(dòng)器和位驅(qū)動(dòng)器可分別采用同相驅(qū)動(dòng)器若采用共陽(yáng)極LED顯示器,而硬件不改動(dòng),則軟件的數(shù)據(jù)段和程序段如何改動(dòng)?(1)將段碼值倒相(2)將程序#7行改成:MOVAH,0FEH5.若將原四位共陰極LED顯示器,擴(kuò)展至八位共陰極LED顯示器,則硬件需改動(dòng)的是:位倒相驅(qū)動(dòng)器增至8位,增加位分別用PB4~PB7控制。軟件需改動(dòng)的是:將程序#8行改成:MOVCX,08H微機(jī)原理及應(yīng)用(A)課程試卷20參考答案一.選擇題:(每題1.5分,共18分)1.2.3.4.5.6.7.8.9.10.11.12.二.填空題:(每空1分,共12分)1.指令流隊(duì)列2.不變03.專(zhuān)門(mén)的輸入輸出4.IO/M高5.B3H16.WRIO/M7.清除中斷請(qǐng)求觸發(fā)器8.PC口三.程序設(shè)計(jì)(共40分)1.1).B=4,C=4,D=2。2).該程序段的功能是:統(tǒng)計(jì)數(shù)據(jù)段中大于0,小于0,等于0的個(gè)數(shù)2.START:MOVCX,L3.START:MOVAX,BUFMOVSI,0FFFFHMOVBX,BUF+2MOVAL,20HMOVCL,8LOP:INCSIROLAX,CLCMPAL,ASCSTR[SI]ADDAX,BXLOOPNELOPMOVBUF,AXJNZNFOUNDHLTMOVAH,01HJMPEEDNFOUND:MOVAH,00HEED:HLT文案大全 實(shí)用標(biāo)準(zhǔn)文檔四.綜合題(30分)1.對(duì)74LS138以及8253的片選端的連線如下圖所示:2.程序填空按先后次序分別為:15H50H0A4H01H0B8H77H00H25H00H0B8H5--56--6文案大全

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。
大家都在看
近期熱門(mén)
關(guān)閉