基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)

基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)

ID:44815253

大?。?17.50 KB

頁數(shù):7頁

時間:2019-10-29

基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)_第1頁
基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)_第2頁
基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)_第3頁
基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)_第4頁
基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)_第5頁
資源描述:

《基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、基于IRFPA改進(jìn)中值濾波算法的FPGA實現(xiàn)徐世偉1劉嚴(yán)嚴(yán)1(光電對抗技術(shù)國防科技重點實驗室)摘要:中值濾波是基于排序統(tǒng)計理論的一種能有效抑制噪聲的非線性信號處理技術(shù)。改進(jìn)中值濾波算法與傳統(tǒng)3×3中值濾波器相比較:濾波模板由2個FIFO與7個寄存器組成,簡單實用;經(jīng)過6級比較可以快速找到9個數(shù)的中值,提高了尋找中值的速度;得到的中值與原始數(shù)據(jù)經(jīng)過閾值比較,做選擇性替換,便更好地保持圖像細(xì)節(jié)。此算法在Sofradir公司的640×512元紅外焦平面陣列探測器上,成功實現(xiàn)3×3窗口對圖像進(jìn)行中值濾波,運算簡單速度快,在濾除噪聲尤其是脈沖噪聲的同時能很好的保護(hù)信

2、號的細(xì)節(jié)信息。關(guān)鍵字:紅外焦平面陣列;中值濾波;模板;閾值O引言基于紅外焦平面陣列(IRFPA)的凝視紅外系統(tǒng)是目前紅外技術(shù)領(lǐng)域的重要方向。由于工藝水平因素限制,以及圖像在生成、采集、傳輸過程中,不可避免的引入各種噪聲使圖像質(zhì)量變差。因此在實際應(yīng)用前,除了要對圖像進(jìn)行非均勻變化和盲元補償以外,還要適宜增加某些特定的圖像預(yù)處理算法來抑制圖像噪聲,以利于后續(xù)處理。凝視紅外系統(tǒng)的幀速一般較快,對電子學(xué)系統(tǒng)實時處理能力要求較高,在幀周期內(nèi)由數(shù)字信號處理芯片(DSP)獨立完成這些圖像預(yù)處理、目標(biāo)識別、跟蹤算法的難度比較大。所以可以把一些主要涉及邏輯運算和乘加計算的算

3、法交給FPGA來處理,充分發(fā)揮FPGA的高速、并行計算能力強的特點。紅外圖像一般存在噪聲點大,目標(biāo)和背景之間灰度差小,邊緣模糊的特點,圖像必須經(jīng)過預(yù)處理進(jìn)行質(zhì)量提高和特征增強,才能進(jìn)行目標(biāo)檢測識別與跟蹤的運算。中值濾波是較為常用的紅外圖像濾波算法,經(jīng)常用于去除圖像的椒鹽噪聲。本文在傳統(tǒng)的中值濾波算法的基礎(chǔ)上對中值濾波做些改進(jìn),以640×512元紅外焦平面陣列探測器為驗證對象,選用Altera公司的高性能StratixⅡEP2S60作為硬件平臺,以流水方式來實現(xiàn)中值濾波算法。1中值濾波原理圖像在生成,傳輸過程中常會受到各種噪聲源的干擾和影響,為了抑制噪聲,改

4、善圖像質(zhì)量,在對圖像分析前需要對圖像進(jìn)行濾波、平滑處理。中值濾波是一種能有效地抑制圖像噪聲而提高信噪比的非線性濾波技術(shù)。它首先對鄰域點的灰度值進(jìn)行排序,然后選擇中間值作為輸出灰度值。中值濾波的公式如下:g(x,y)=median{f(x-i,y-j)}i,J∈S(5)其中,g(x,y)和f(x,y)為像素灰度值;S為模板窗口。對于不同的圖像質(zhì)量有不同的應(yīng)用模板窗口,其中常用的有3×3模板和5×5模板。中值濾波算法可以在DSP中實現(xiàn),隨著FPGA可編程邏輯器件的邏輯單元的數(shù)量不斷提高,現(xiàn)在這部分功能完全可以在FPGA上實現(xiàn)。也不需要FPGA外部存儲器的配合。

5、主要有下面兩方面工作要做。1.1模板生成二維中值濾波的模板形狀和尺寸有多種樣式,不同的圖像內(nèi)容和不同的應(yīng)用要求,往往采用不同的模板形狀和尺寸,常用的中值濾波有線形、方形、十字形、X字型以及矩形等。根據(jù)紅外圖像的特點選用方形模板更為適合,以3×3的窗口為例,中值濾波處理算法是針對鄰域像素操作。利用2個FIFO和6個寄存器對圖像的行列數(shù)據(jù)進(jìn)行存儲,設(shè)計濾波窗口如圖1所示。ImageData圖13×3窗口原理框圖R23R13R33R11R12R21R22R31R32R11R12R13R21R22R23R31R32R33Reg32Reg22Reg12Reg31Re

6、g21Reg11FIFO1FIFO2Reg33圖1中,每個FIFO的地址長度為圖像的寬度減2,即一個FIFO加2個寄存器存儲一行圖像數(shù)據(jù),用于圖像行數(shù)的緩存;7個寄存器分3組兩兩串接,實現(xiàn)每行數(shù)據(jù)上列像素的緩存,從而形成一個3×3的濾波窗口,在一個像素時鐘周期內(nèi)并行輸出9個數(shù)據(jù)作為后續(xù)算法模塊的輸入。通常,圖像邊緣不包含重要信息,可以將邊緣點位置取為原始值。1.2中值濾波算法設(shè)計[1]中值濾波器的核心算法是排序,排序法的優(yōu)劣直接決定了求中值的效率,從而決定了設(shè)計的整體性能?;谟布呐判蛩惴ㄔO(shè)計可以充分利用FPGA硬件平臺并行性特點,從而達(dá)到加速處理的目的

7、。原始的冒泡排序算法適合計算機(jī)軟件操作,應(yīng)用FPGA應(yīng)當(dāng)盡可能多的用到FPGA并行操作。對9個數(shù)據(jù)直接找到中間值不容易,但最大值與最小值可以很快找到,如果找到9個數(shù)中的最大值與最小值,就可以將這兩個數(shù)排除掉,剩下的7個數(shù)繼續(xù)尋找最大值與最小值。找到后排除,以此類推,最后剩下的那個數(shù)就是這9個數(shù)中的中間值。設(shè)計一個3輸入比較器,在一個時鐘周期內(nèi)就可以比較出它們的大小關(guān)系。其輸入數(shù)據(jù)為圖1所示的模板生成的數(shù)據(jù)。首先將9個數(shù)分三組,找到每組的最大值、最小值與中間值(參見圖2所示)。然后將3組比較結(jié)果中的最大數(shù)放在一起,最小數(shù)放在一起,中間值放在一起,組成第二級比

8、較。第二級比較可以找到9個數(shù)中的最大值及最小值,這兩個數(shù)不參加下一

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。