EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))

EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))

ID:45755820

大小:289.60 KB

頁(yè)數(shù):16頁(yè)

時(shí)間:2019-11-17

EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))_第1頁(yè)
EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))_第2頁(yè)
EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))_第3頁(yè)
EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))_第4頁(yè)
EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))_第5頁(yè)
資源描述:

《EDA實(shí)驗(yàn)指導(dǎo)書(shū)(頁(yè))》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫(kù)。

1、實(shí)驗(yàn)一熟悉QUARTUSII的設(shè)計(jì)過(guò)程1實(shí)驗(yàn)二一位二進(jìn)制全加器的VHDL設(shè)計(jì)5實(shí)驗(yàn)三用原理圖輸入法設(shè)計(jì)8位全加器8實(shí)驗(yàn)四設(shè)計(jì)含異步清零和同步時(shí)鐘使能的加法計(jì)數(shù)器…10實(shí)驗(yàn)五數(shù)控偶數(shù)倍分頻器的設(shè)計(jì)12實(shí)驗(yàn)六用狀態(tài)機(jī)實(shí)現(xiàn)序列檢測(cè)器的設(shè)計(jì)1516實(shí)驗(yàn)七:數(shù)字鐘的設(shè)計(jì)16實(shí)驗(yàn)八:等精度頻率計(jì)設(shè)計(jì)實(shí)驗(yàn)一熟悉QUARTUSII的設(shè)計(jì)過(guò)程[輸入方式:文本輸入、圖形輸入、波形輸入等]一、實(shí)驗(yàn)?zāi)康模?、掌握QUARTUSII安裝過(guò)程;2、熟悉QUARTUSII設(shè)計(jì)環(huán)境;3、掌握QUARTUSII的設(shè)計(jì)過(guò)程。二、實(shí)驗(yàn)內(nèi)容及步驟(一)、安裝QUARTUSIE注:第一%安裂QUARTUS麥安製?

2、(二)、QUARTUSII設(shè)計(jì)開(kāi)發(fā)步驟一、創(chuàng)建工作文件夾在windows>

3、*建立一個(gè)文件夾(乂稱(chēng)工作庫(kù)或WORKLIBRARY),用于保存設(shè)計(jì)工程項(xiàng)目的有關(guān)文件。注:設(shè)計(jì)工程項(xiàng)目的有關(guān)文件不能保存在根目錄下,必須保存在一個(gè)文件夾之下。例如建立的文件夾:E:miix.二、啟動(dòng)QuartusII點(diǎn)擊QUARTUSII7.0圖標(biāo)打開(kāi)QUARTUSII7.0設(shè)計(jì)窗口。三、設(shè)計(jì)文件輸入1、打開(kāi)輸入文件編輯器點(diǎn)擊菜單Filccw…新建立一個(gè)文本設(shè)計(jì)文件。用文本輸入法輸入程序。程序見(jiàn)附錄。2、保存文件,文件名名同實(shí)體名。后綴.VHD四、邏輯綜合1、創(chuàng)建工程點(diǎn)擊菜單FileNe

4、wProjectWizard進(jìn)行工程設(shè)置。2、編譯設(shè)置⑴選擇PLD芯片:AssignmenmtsSettingsDevice彈出的窗口中選擇選擇芯片。(2)選擇配置芯片的工作方式:AssignmenmtsSettingsDeviceDevice&PinOptions彈出的窗口中首選General項(xiàng),在Options欄中選擇Auto-restart-configurationaftererror?⑶選擇配置芯片和編程方式:AssignmenmtsSettingsDeviceDevice&PinOptions彈岀的窗口中選擇Configuration欄,在窗口

5、中設(shè)證配置方式,配置芯片和是否牛成壓縮的配置文件。⑷選擇輸出設(shè)置:保持默認(rèn)。(5)選擇目標(biāo)器件閑置引腳的狀態(tài):AssignmenmtsSettingsDeviceDevice&PinOptions彈出的窗口中選擇UnusedPins欄,在窗口中對(duì)閑置的引腳設(shè)置,推薦設(shè)置為AsoutputdrivinggroucL3、全程編譯:ProcessingStartComp訂ationo完成對(duì)設(shè)計(jì)項(xiàng)目的檢錯(cuò)、邏輯綜合、結(jié)構(gòu)綜合、配置文件生成以及時(shí)序分析。五、功能或吋序仿真建議先做功能仿真,以檢驗(yàn)設(shè)計(jì)項(xiàng)冃的邏輯真確性,這樣可以提供設(shè)計(jì)效率。1、功能仿真設(shè)iff:Assignm

6、enmtsSettings彈出的窗口屮選擇SimulatorSettingSo在右邊Simulationmode中選擇Functional.2、ProcessingGenerateFunctionalSimu1ationnet1ist,生成功能仿真所需的文件。3、建立波形文件并功能仿真(l)FileNewOtherfiles,在窗口中選擇VectorWaveformf訂e打開(kāi)波形編輯器。⑵設(shè)置仿真時(shí)間區(qū)域:可默認(rèn)。一般幾十微妙。時(shí)間區(qū)域過(guò)長(zhǎng),使仿真時(shí)間變長(zhǎng),影響仿真效率。⑶在波形編輯器中添加項(xiàng)Fl的相關(guān)引腳。原則上是所冇引腳,但冇的項(xiàng)冃引腳很多,可以只添加必要的一

7、些引腳。View'Ut訂ityWindowsNodeFinder,在彈出的窗口中將所需引腳拖入波形編輯器中。⑷編輯輸入波形:対所有的輸入引腳設(shè)置合適的波形。⑸丿訂動(dòng)仿真器:ProcessingStartSimulation.⑹觀察分析仿真結(jié)果。仿真結(jié)構(gòu)保存于文件“SimulationReport”,此文件在仿真完成后會(huì)自動(dòng)彈出。若仿真結(jié)果有出入,垂新修改程序,直到仿真結(jié)果沒(méi)有問(wèn)題。六、管腳鎖定并結(jié)構(gòu)綜合(適配)。見(jiàn)教材七、進(jìn)時(shí)序仿真,驗(yàn)證設(shè)計(jì)的時(shí)序是否滿(mǎn)足設(shè)計(jì)要求。見(jiàn)教材八、執(zhí)行文件匯編,生成卜-載所需的文件。見(jiàn)教材九、編程下載(可以不做)。見(jiàn)教材;注:詳細(xì)的使用說(shuō)明

8、見(jiàn)教材相關(guān)章節(jié)。附錄:LIBRARYIEEE;USEIEEE.STD_LOG1C^1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK,RST,EN:INSTD.LOGIC;CQ:OUTSTDJLOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT10;ARCHITECTUREbehavOFCNT10ISBEGINPROCESS(CLK,RST,EN)VARIABLECQI:STD_LOGIC_VECTOR(3DOW

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。