基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】

基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】

ID:463914

大?。?2.00 KB

頁數(shù):3頁

時(shí)間:2017-08-05

基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】_第1頁
基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】_第2頁
基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】_第3頁
資源描述:

《基于DSP Builder的數(shù)字陷波器設(shè)計(jì)【開題報(bào)告】》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫

1、畢業(yè)論文開題報(bào)告電子信息工程基于DSPBuilder的數(shù)字陷波器設(shè)計(jì)一、課題研究意義及現(xiàn)狀濾波是信號處理的重要環(huán)節(jié)之一。數(shù)字濾波器在圖像處理、語音識別、模式識別、高清電視等數(shù)字信號處理中都起著關(guān)鍵作用。數(shù)字濾波器可以滿足濾波器幅度和相位特性的嚴(yán)格要求,可以克服模擬濾波器所無法克服的電壓漂移、溫度漂移和噪聲等問題。而傳統(tǒng)的模擬濾波器在精度上無法與數(shù)字濾波器相比,尤其是在多阻帶多通帶濾波器設(shè)計(jì)方面,模擬濾波器更是無能為力,因此對數(shù)字濾波器的研究是十分必要且有廣泛應(yīng)用價(jià)值的。數(shù)字濾波器實(shí)際上是一個(gè)采用有限精度算法實(shí)現(xiàn)的線性非時(shí)變離散系

2、統(tǒng),理想帶阻數(shù)字濾波器,能在保證其他頻率的信號不損失的情況下,有效的抑制輸入信號中某一頻率信息。目前陷波器硬件實(shí)現(xiàn)主要有模擬電路方式與數(shù)字電路方式。根據(jù)數(shù)字濾波器沖激響應(yīng)函數(shù)的時(shí)域特性,可將數(shù)字濾波器分為無限長沖激響應(yīng)(IIR)濾波器和有限長沖激響應(yīng)(FIR)濾波器兩種。IIR數(shù)字濾波器與FIR數(shù)字濾波器相比,它可以用較低的階數(shù)獲得較高的選擇性,在實(shí)現(xiàn)時(shí)所用存儲單元少,經(jīng)濟(jì)而且高效,在相同門級規(guī)模和相同時(shí)鐘速度下可以提供更好的帶外衰減特性等突出優(yōu)點(diǎn)而在工程實(shí)際中獲得廣泛應(yīng)用。因此本課題擬定采用IIR數(shù)字濾波器結(jié)構(gòu)。濾波器的硬件平

3、臺主要有單片機(jī)、FPGA和DSP等。FPGA是目前電路系統(tǒng)設(shè)計(jì)的主要實(shí)現(xiàn)硬件之一,也是數(shù)字信號處理的主要實(shí)現(xiàn)平臺。FPGA具有功耗低,運(yùn)算速度快,通用性強(qiáng),能重復(fù)/在線編程的優(yōu)點(diǎn),使用FPGA來進(jìn)行數(shù)字信號處理可以縮小硬件規(guī)模,提高系統(tǒng)的靈活性、可靠性和實(shí)時(shí)性,所以很多工程應(yīng)用可編程邏輯門陣列(FPGA)來完成數(shù)字信號處理。本次設(shè)計(jì)我采用IIR數(shù)字濾波器結(jié)構(gòu),利用DSPBuilder建立陷波器的模型設(shè)計(jì),并在DSPBuilder平臺上完成仿真和編譯。利用DSPBuilder設(shè)計(jì)數(shù)字陷波器濾除100Hz干擾噪聲。模塊化的設(shè)計(jì)方法可

4、以避免繁瑣的程序代碼編寫,縮短開發(fā)周期,降低設(shè)計(jì)難度。二、課題研究的主要內(nèi)容和預(yù)期目標(biāo)主要內(nèi)容:采用IIR數(shù)字濾波器結(jié)構(gòu),并利用DSPBuilder建立陷波器的模型設(shè)計(jì),并在DSPBuilder平臺上完成仿真和編譯,實(shí)現(xiàn)濾除干擾噪聲的功能。濾波器技術(shù)指標(biāo):噪聲干擾頻率100Hz,3dB帶邊頻率為95z和105Hz,阻帶衰減不小于30dB。預(yù)期目標(biāo):1、根據(jù)技術(shù)指標(biāo)要求,實(shí)現(xiàn)整個(gè)系統(tǒng)的模塊化設(shè)計(jì);2、實(shí)現(xiàn)系統(tǒng)功能的仿真與驗(yàn)證;3、完成畢業(yè)論文的撰寫;三、課題研究的方法及措施相關(guān)參數(shù)計(jì)算:信號頻帶寬度為99Hz至101Hz根據(jù)采樣定

5、理則采樣頻率為fs≥2fc,所以數(shù)字濾波器系統(tǒng)的采樣頻率可選擇為1000Hz。由于指標(biāo)要求濾波器濾除100Hz的噪聲,則可得陷波器的阻帶中心頻率為ωT=2πf/fs=0.2π。課題設(shè)計(jì)思路:首先在MATLAB/SIMULINK,利用FDATool工具,輸入課題的相關(guān)參數(shù),計(jì)算得到IIR濾波器的階數(shù)、系數(shù)等相關(guān)參數(shù)。對濾波器的參數(shù)進(jìn)行四舍五入的截取。再采用圖1所示的IIR濾波器結(jié)構(gòu)進(jìn)行建模。對模型加入信號源和顯示設(shè)備。然后進(jìn)行功能仿真,再進(jìn)行編譯、綜合和轉(zhuǎn)換,將轉(zhuǎn)換后的工程在QUARTUSII中進(jìn)行FPGA的實(shí)現(xiàn)與最終的行為級仿真

6、。圖1IIR濾波器的基本結(jié)構(gòu)四、課題研究進(jìn)度計(jì)劃畢業(yè)設(shè)計(jì)期限:自2010年10月20至2011年4月20日。第1周—第2周:分析任務(wù),查閱文獻(xiàn)資料,研究分析課題的關(guān)鍵技術(shù)。第3周—第4周:熟悉MATLAB、DSP?Builder和QUARTUSII集成環(huán)境。設(shè)計(jì)系統(tǒng)總體方案,完成開題報(bào)告、文獻(xiàn)綜述、外文翻譯。第5周—第6周:系統(tǒng)總體方案設(shè)計(jì),軟件程序設(shè)計(jì)。第7周—第8周:撰寫設(shè)計(jì)論文。第9周—第10周:系統(tǒng)調(diào)試并完善。第11周—第12周:設(shè)計(jì)作品完善,論文修改。五、參考文獻(xiàn)[1]周亞鳳,李躍華,朱昊.基于FPGA的16階FIR濾

7、波器的設(shè)計(jì)[J].南京工業(yè)大學(xué)學(xué)報(bào):自然科學(xué)版,2005,1,27(1):46~50.[2]張兆東.基于FPGA的32階FIR濾波器設(shè)計(jì)[J].蘭州石化職業(yè)技術(shù)學(xué)院學(xué)報(bào),2007,3,7(1):10~13.[3]潘松,黃繼業(yè),王國棟.現(xiàn)代DSP技術(shù)[M].西安:西安電子科技大學(xué)出版社,2009,3,25(2):148~149,193.[4]羅韓軍,劉明偉,王成.基于DSPBuilder的FIR濾波器設(shè)計(jì)與實(shí)現(xiàn)[J].微計(jì)算機(jī)信息,2009,2,25(2):148~149,193.[5]潘松,黃繼業(yè),曾毓.SOPC技術(shù)實(shí)用教程[M

8、].北京:清華大學(xué)出版社,2005,3.[6]范寒柏,司加禎.基于DSPBuilder的16階FIR濾波器實(shí)現(xiàn)[J].現(xiàn)代電子技術(shù),2009,32(20):193~195.[7]周彬,秦玉娟,王振利.FIR數(shù)字濾波器的FPGA實(shí)現(xiàn)研究[J].電子設(shè)計(jì)工程,201

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時(shí)可能會顯示錯(cuò)亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時(shí)聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。