基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)

基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)

ID:6634406

大?。?54.50 KB

頁(yè)數(shù):24頁(yè)

時(shí)間:2018-01-20

基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)_第1頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)_第2頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)_第3頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)_第4頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)_第5頁(yè)
資源描述:

《基于vhdl的頻率計(jì)設(shè)計(jì)本科畢業(yè)設(shè)計(jì)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫(kù)。

1、基于聲紋的說(shuō)話(huà)人特征識(shí)別JIUJIANGUNIVERSITY畢業(yè)論文(設(shè)計(jì))題目基于VHDL的頻率計(jì)設(shè)計(jì)英文題目ThefrequencymeterbasedonVHDLdesign院系電子工程學(xué)院專(zhuān)業(yè)電子信息工程17九江學(xué)院學(xué)士學(xué)位論文摘要數(shù)字頻率計(jì)是直接用十進(jìn)制數(shù)字來(lái)顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。它不僅可以測(cè)量正弦波、方波、三角波、尖脈沖信號(hào),而且還可以測(cè)量它們的周期。經(jīng)過(guò)改裝,可以測(cè)量脈沖寬度,做成數(shù)字式脈寬測(cè)量?jī)x;在電路中增加傳感器,還可以做成數(shù)字脈搏儀、計(jì)價(jià)器等。因此數(shù)字頻率計(jì)在測(cè)量物理量方面應(yīng)

2、用廣泛。本設(shè)計(jì)用VHDL在CPLD器件上實(shí)現(xiàn)數(shù)字頻率計(jì)測(cè)頻系統(tǒng),能夠用十進(jìn)制數(shù)碼顯示被測(cè)信號(hào)的頻率,而且還能對(duì)其他多種物理量進(jìn)行測(cè)量。具有體積小、可靠性高、功耗低的特點(diǎn)。采用VDHL編程設(shè)計(jì)實(shí)現(xiàn)的數(shù)字頻率計(jì),除被測(cè)信號(hào)的整形部分、鍵輸入部分和數(shù)碼顯示部分以外,其余全部在一片F(xiàn)PGA芯片上實(shí)現(xiàn),整個(gè)系統(tǒng)非常精簡(jiǎn),而且具有靈活的現(xiàn)場(chǎng)可更改性。關(guān)鍵字:VHDL語(yǔ)言;頻率計(jì);FPGA17九江學(xué)院學(xué)士學(xué)位論文ThefrequencymeterbasedonVHDLdesignAbstractDigitalfrequ

3、encymeterisdirectlywithadecimalnumbertodisplaythemeasuredsignalfrequencyofameasuringdevice.Itnotonlycanmeasurethesinewave,squarewave,trianglewave,pulsesignal,butalsocanmeasuretheircycle.Modified,andcanmeasurepulsewidth,intoadigitalpulsewidthmeasuringinstr

4、ument;Addthesensorsinthecircuit,butalsocanbemadeintodigitalpulseapparatus,meter,etc.Sothedigitalfrequencymeterhasbeenwidelyappliedinmeasuringphysicalquantities.ThisdesignwithVHDLontheCPLDdevicetorealizedigitalfrequencymeterfrequencymeasurementandcontrolsy

5、stem,canusedecimaldigitaldisplaymeasuredsignalfrequency,butalsotomeasureavarietyofotherphysicalquantities.Withthecharacteristicsofsmallvolume,highreliability,lowpowerconsumption.VDHLprogrammingdesignwasadoptedtorealizedigitalfrequencymeter,inadditiontothe

6、measuredsignaloftheplasticpartanddigitaldisplay,keyinputparts,allonaFPGAchip,thewholesystemisverycompact,andwithflexiblefieldismodified.KeyWords:VHDLlanguage;Frequencymeter;FPGA17九江學(xué)院學(xué)士學(xué)位論文目錄摘要IThefrequencymeterbasedonVHDLdesignIIAbstractII第一章緒論11.1課題的研究背

7、景11.2頻率計(jì)發(fā)展現(xiàn)狀1第2章數(shù)字頻率計(jì)的要求32.1主要技術(shù)指標(biāo)32.2課題的研究?jī)?nèi)容3第3章數(shù)字頻率計(jì)的方案設(shè)計(jì)43.1基本原理43.1.1頻率計(jì)測(cè)量頻率的設(shè)計(jì)原理43.1.2頻率計(jì)測(cè)量頻率的原理圖43.2設(shè)計(jì)流程圖5第4章數(shù)字頻率計(jì)各模塊功能介紹64.1頻率控制模塊的VHDL語(yǔ)言源程序64.1.1頻率控制模塊的程序如下:64.1.2頻率控制模塊CNT1274.2十進(jìn)制加法計(jì)數(shù)器CNT10的VHDL語(yǔ)言源程序74.2.1十進(jìn)制計(jì)數(shù)器的程序74.2.2十進(jìn)制計(jì)數(shù)器的頂層設(shè)計(jì)94.3系統(tǒng)模塊的VHDL語(yǔ)

8、言源程序94.3.1系統(tǒng)模塊的設(shè)計(jì)94.3.2系統(tǒng)模塊的程序94.4鎖存器LOCK的VHDL語(yǔ)言源程序134.4.1鎖存器LOCK的程序134.4.2鎖存器LOCK頂層設(shè)計(jì)圖144.5譯碼模塊DECODER的VHDL語(yǔ)言源程序154.5.1譯碼模塊DECODER的程序154.6四選一選擇器MUX41的VHDL語(yǔ)言源程序164.6.1MUX41程序164.7四進(jìn)制計(jì)數(shù)器CNT4的VHDL語(yǔ)言源程序1717九江學(xué)院學(xué)士學(xué)位論文4.

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。