基于VHDL語言的頻率計設(shè)計.doc

基于VHDL語言的頻率計設(shè)計.doc

ID:59095339

大小:9.94 MB

頁數(shù):15頁

時間:2020-09-15

基于VHDL語言的頻率計設(shè)計.doc_第1頁
基于VHDL語言的頻率計設(shè)計.doc_第2頁
基于VHDL語言的頻率計設(shè)計.doc_第3頁
基于VHDL語言的頻率計設(shè)計.doc_第4頁
基于VHDL語言的頻率計設(shè)計.doc_第5頁
資源描述:

《基于VHDL語言的頻率計設(shè)計.doc》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫。

1、目錄一、課程設(shè)計任務(wù):2二、課程設(shè)計原理:2三、課程設(shè)計所需儀器:2四、課程設(shè)計使用的硬件資源及原理框圖:2五、設(shè)計過程及操作:31、FTCTRL測頻控制模塊:32、計數(shù)器模塊:33、REG32B鎖存器模塊34、DECL7S譯碼器模塊45、分頻器模塊46、MUX多路選擇器模塊。47、器件連接:48、操作過程:4六、設(shè)計各個模塊代碼:51:FTCTRL模塊52:CNT_10計數(shù)器模塊53:REG32B鎖存器模塊64:DECL7S譯碼器模塊65:any_1010分頻器模塊76:any_55分頻器模塊77:any_1616分頻模塊88:M

2、UX多路選擇器模塊99:頂層例化代碼9七、總電路:12八、管腳配置:13九、設(shè)計結(jié)果:14一、15一、課程設(shè)計任務(wù):設(shè)計8位十進(jìn)制頻率計二、課程設(shè)計原理:根據(jù)頻率的定義和頻率測量的基本原理,測定信號的頻率必須有一個脈寬為1秒的對輸入信號脈沖計數(shù)允許的信號;1秒計數(shù)結(jié)束后,計數(shù)值鎖入鎖存器的鎖存信號和為下一測頻計數(shù)周期作準(zhǔn)備的計數(shù)器清0信號。這清0個信號可以由一個測頻控制信號發(fā)生器TESTCTL產(chǎn)生,它的設(shè)計要求是,TESTCTL的計數(shù)使能信號CNT_EN能產(chǎn)生一個1秒脈寬的周期信號,并對頻率計的每一計數(shù)器CNT10的EN使能端進(jìn)行同

3、步控制。當(dāng)CNT_EN高電平時,允許計數(shù);低電平時停止計數(shù),并保持其所計的脈沖數(shù)。在停止計數(shù)期間,首先需要一個鎖存信號LOAD的上跳沿將計數(shù)器在前1秒鐘的計數(shù)值鎖存進(jìn)各鎖存器REG4B中,并由外部的7段譯碼器譯出,顯示計數(shù)值。設(shè)置鎖存器的好處是,顯示的數(shù)據(jù)穩(wěn)定,不會由于周期性的清零信號而不斷閃爍。鎖存信號之后,必須有一清零信號RST_CNT對計數(shù)器進(jìn)行清零,為下1秒鐘的計數(shù)操作作準(zhǔn)備。三、課程設(shè)計所需儀器:AltreaDE2-115實驗箱;quartusII12.1;modelsim仿真軟件。四、課程設(shè)計使用的硬件資源及原理框圖:1

4、、50MHz時鐘源兩個2、撥動開關(guān)3、7段數(shù)碼管/液晶顯示屏15一、設(shè)計過程及操作:1、FTCTRL測頻控制模塊:頻率計的核心控制部分為FTCTRL,該模塊的技術(shù)是能信號CNT_EN能產(chǎn)生一個1s脈寬的周期信號(由于實驗箱提供的是50MHz的高頻信號,所以需要通過分頻器獲得1s脈寬信號),并對頻率計中的計數(shù)器的EN使能端進(jìn)行同步測控。當(dāng)CNT_EN高電平時允許計數(shù);低電平時停止計數(shù),并保持其所設(shè)計的脈沖數(shù)。在停止計數(shù)期間,首先需要一個鎖存信號LOAD的上升沿將計數(shù)器在前一秒鐘的計數(shù)器的計數(shù)值鎖存進(jìn)鎖存器REG32B中,并由外部的十六

5、進(jìn)制7段譯碼器譯出,顯示計數(shù)值。鎖存信號后,必須有一清零信號RST_CNT對計數(shù)器清零,為下一秒的計數(shù)操作做準(zhǔn)備。2、計數(shù)器模塊:由于設(shè)計要求為8位十進(jìn)制輸出,所以采用的是十進(jìn)制加法帶進(jìn)位的計數(shù)器級聯(lián)的形式完成計數(shù)功能,每個單獨的計數(shù)器有4位的十進(jìn)制DOUT(3DOWNTO0)輸出和一個進(jìn)位COUT輸出,輸入的RST復(fù)位端、EN使能端和計數(shù)信號CLK端。級聯(lián)時,將被測信號接入第一個各位的CNT_10計數(shù)器的CLK端,并且將每上一個的進(jìn)位端與下一個的計數(shù)器CNT_10的計數(shù)CLK相連。3、REG32B鎖存器模塊鎖存器為32位,包括一個

6、鎖存信號LK,32位的輸入和輸出。154、DECL7S譯碼器模塊因為每個計數(shù)器輸出的是二進(jìn)制數(shù),所以轉(zhuǎn)化到七段數(shù)碼管上需要通過4-7譯碼器譯碼。實驗箱的數(shù)碼管為共陽極。需要8個數(shù)碼管同時顯示,所以需要8個譯碼器。5、分頻器模塊由于實驗箱只提供50MHz的信號,所以需要分頻得到1Hz的信號以及不同的頻率進(jìn)行測試,所以需要分頻器。本設(shè)計分頻器采用的是5分頻器any_5、10分頻器any_10和16分頻器any_16。6、MUX多路選擇器模塊。由于實驗箱提供的信號源有限,所以要進(jìn)行測試,必須使用多路選擇器來進(jìn)行對不同的分頻信號進(jìn)行測試來驗

7、證頻率計的功能是否完成。本次設(shè)計采用的是4選1多路選擇器。7、器件連接:以上各部份器件已經(jīng)完善。需要將這些器件連接起來完成最終的設(shè)計,所以本次設(shè)計采取了元件例化,用例化語句將各個器件連接起來。頂層文件見代碼段。8、操作過程:A建立項目B選擇器件:器件系列(DeviceFamily)CycloneIVE器件型號(AvailableDevices)EP4CE115F29C7C建立設(shè)計文檔,newvhdlfile,并編輯保存。D點擊按鍵欄(或Processing菜單中)的Startcompilation進(jìn)行編譯E編譯正確完成后,點擊按鍵欄

8、(或Assignments菜單中)的PinPlanner進(jìn)行引腳鎖定F雙擊Location欄,在下拉菜單中選擇需要鎖定的引腳(EP4C115F芯片引腳分布詳見附錄)G再次編譯項目H連接實驗箱I程序下載點擊按鍵欄(或Tools菜單)的P

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。