課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)

課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)

ID:6801765

大小:144.00 KB

頁(yè)數(shù):24頁(yè)

時(shí)間:2018-01-26

課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)_第1頁(yè)
課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)_第2頁(yè)
課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)_第3頁(yè)
課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)_第4頁(yè)
課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)_第5頁(yè)
資源描述:

《課程設(shè)計(jì)(論文)-基于vhdl數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫(kù)

1、《基于VHDL數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)》第24頁(yè)共24頁(yè)1引言隨著科學(xué)技術(shù)的不斷發(fā)展,人們對(duì)時(shí)間計(jì)量的精度要求越來(lái)越高。相對(duì)機(jī)械鐘而言,數(shù)字鐘能實(shí)現(xiàn)準(zhǔn)確計(jì)時(shí),并顯示時(shí),分,秒,而且可以方便,準(zhǔn)確的對(duì)時(shí)間進(jìn)行調(diào)節(jié)。在此基礎(chǔ)上,還可以實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能。因此,數(shù)字鐘的應(yīng)用十分廣泛。所謂數(shù)字時(shí)鐘,是指利用電子電路構(gòu)成的計(jì)時(shí)器。1.1課題的背景、目的電子技術(shù)是一門應(yīng)用很廣,發(fā)展極為迅速的科學(xué)技術(shù),尤其由于數(shù)字電子技術(shù)具有高抗干擾能力、更高的可靠性和便于計(jì)算機(jī)處理等特點(diǎn),近年來(lái)得到更加迅速的發(fā)展,數(shù)字通訊設(shè)備、數(shù)字電視、數(shù)字照相機(jī)、數(shù)字?jǐn)z象機(jī)等數(shù)字化產(chǎn)品近年如雨后春筍般大量涌現(xiàn),數(shù)字

2、電子技術(shù)已經(jīng)成為今后電子技術(shù)發(fā)展的主要方向。現(xiàn)代電子產(chǎn)品幾乎滲透了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來(lái)越快。鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、定時(shí)啟閉電路、定時(shí)開關(guān)烘箱、通斷動(dòng)力設(shè)備,甚至各種定時(shí)電氣的自動(dòng)啟用等,所有這些,都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。通過(guò)數(shù)字時(shí)鐘的設(shè)計(jì),鞏固計(jì)算機(jī)組成原理課程,理論聯(lián)系實(shí)際,提高分析、解決計(jì)算機(jī)

3、技術(shù)的實(shí)際問(wèn)題的獨(dú)立工作能力;掌握用VHDL語(yǔ)言編制簡(jiǎn)單的小型模塊,學(xué)會(huì)數(shù)字鐘的設(shè)計(jì)方法,熟悉集成電路的使用方法,初步掌握電子鐘的設(shè)計(jì)方法并實(shí)現(xiàn)時(shí)間的顯示和校對(duì),以及報(bào)時(shí)的功能,并能對(duì)數(shù)字電子鐘進(jìn)行擴(kuò)展。數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。1.2課程設(shè)計(jì)的內(nèi)容本課程設(shè)計(jì)實(shí)現(xiàn)一個(gè)數(shù)字時(shí)鐘,具有按秒走時(shí)功能,能夠分別顯示小時(shí)(2位24小時(shí))、分鐘(2位)、秒(2位)。具有整點(diǎn)報(bào)時(shí)、時(shí)間調(diào)整功能,且能夠?qū)τ?jì)時(shí)系統(tǒng)的小時(shí)、分鐘進(jìn)行調(diào)整。也可設(shè)計(jì)成十二小時(shí)計(jì)時(shí)方案(

4、AM,PM)。具有美觀、清晰、人性化的顯示界面設(shè)計(jì),走時(shí)精度不劣于±3秒/月。本課程設(shè)計(jì)采用VHDL語(yǔ)言,以MAXPLUSII開發(fā)工具。《基于VHDL數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)》第24頁(yè)共24頁(yè)根據(jù)系統(tǒng)設(shè)計(jì)的要求,系統(tǒng)設(shè)計(jì)采用自頂向下的設(shè)計(jì)方法,可以將該系統(tǒng)中的各功能模塊細(xì)分為:秒計(jì)數(shù)模塊、分計(jì)數(shù)模塊、小時(shí)計(jì)數(shù)模塊、報(bào)警模塊、時(shí)間設(shè)置模塊和譯碼顯示模塊六個(gè)部分。《基于VHDL數(shù)字時(shí)鐘的設(shè)計(jì)與實(shí)現(xiàn)》第24頁(yè)共24頁(yè)2EDA、VHDL簡(jiǎn)介2.1EDA簡(jiǎn)介現(xiàn)代電子設(shè)計(jì)技術(shù)的核心就是EDA(ElectronicDesignAutomatic)技術(shù)。利用EDA技術(shù),電子設(shè)計(jì)師可以方便地

5、實(shí)現(xiàn)IC設(shè)計(jì)、電子電路設(shè)計(jì)和PCB設(shè)計(jì)等工作。EDA技術(shù)已有30年的發(fā)展歷程。現(xiàn)在EDA技術(shù)應(yīng)用廣泛,包括在機(jī)械、電子、通信、航空航天、化工等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。無(wú)論是在產(chǎn)品設(shè)計(jì)、制造方面,還是在科研與教學(xué)方面,EDA已成為必不可少的一部分。掌握EDA技術(shù)已成為通信電子類專業(yè)的學(xué)生學(xué)習(xí)及就業(yè)的基本素質(zhì)。2.1.1EDA技術(shù)的概念??EDA技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來(lái)的通用軟件系統(tǒng),是指以計(jì)算機(jī)為工作平臺(tái),融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。??EDA設(shè)計(jì)可分為系統(tǒng)級(jí)、電路級(jí)和物理實(shí)現(xiàn)級(jí)。物理級(jí)設(shè)計(jì)主要指

6、IC版圖設(shè)計(jì),一般由半導(dǎo)體廠家完成;系統(tǒng)級(jí)設(shè)計(jì)主要面對(duì)大型復(fù)雜的電子產(chǎn)品;而一般民用及教學(xué)所涉及基本是電路級(jí)設(shè)計(jì)。我們常用的EDA軟件多屬于電路級(jí)設(shè)計(jì)。電路級(jí)設(shè)計(jì)工作,是在電子工程師接受系統(tǒng)設(shè)計(jì)任務(wù)后,首先確定設(shè)計(jì)方案,并選擇合適的元器件,然后根據(jù)具體的元器件設(shè)計(jì)電路原理圖,接著進(jìn)行第一次仿真。其中包括數(shù)字電路的邏輯模擬、故障分析、模擬電路的交直流分析、瞬態(tài)分析等。這一次仿真主要是檢驗(yàn)設(shè)計(jì)方案在功能方面的正確性。仿真通過(guò)后,根據(jù)原理圖產(chǎn)生的電氣連接網(wǎng)絡(luò)表進(jìn)行PCB板的自動(dòng)布局布線,有條件的還可以進(jìn)行PCB后分析。其中包括熱分析、噪聲及竄擾分析、電磁兼容分析、可靠性分析等

7、,并可將分析后的結(jié)果參數(shù)反饋回電路圖,進(jìn)行第二次仿真,也稱作后仿真。后仿真主要是檢驗(yàn)PCB板在實(shí)際工作環(huán)境中的可行性。?2.1.2EDA技術(shù)的歷史與發(fā)展??EDA技術(shù)發(fā)展歷程大致可分為三個(gè)階段。20世紀(jì)70年代為計(jì)算機(jī)輔助設(shè)計(jì)(CAD)階段,人們開始用計(jì)算機(jī)取代手工操作進(jìn)行IC版圖編輯、PCB布局布線。80年代為計(jì)算機(jī)輔助工程(CAE)階段。與CAD相比,CAE除了有純粹的圖形繪制功能外,又增加了電路功能分析和結(jié)構(gòu)設(shè)計(jì),并且通過(guò)電氣連接網(wǎng)絡(luò)表將兩者結(jié)合在一起,實(shí)現(xiàn)了工程設(shè)計(jì)。20世紀(jì)90年代為電子系統(tǒng)設(shè)計(jì)自動(dòng)化(EDA)階段,同時(shí)又出現(xiàn)了

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。