基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論

基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論

ID:25841916

大?。?10.50 KB

頁(yè)數(shù):38頁(yè)

時(shí)間:2018-11-23

基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論_第1頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論_第2頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論_第3頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論_第4頁(yè)
基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論_第5頁(yè)
資源描述:

《基于vhdl的頻率計(jì)設(shè)計(jì) 電子技術(shù)與通行工程等專(zhuān)業(yè)畢業(yè)設(shè)計(jì) 畢業(yè)論》由會(huì)員上傳分享,免費(fèi)在線(xiàn)閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫(kù)。

1、本科生畢業(yè)論文(設(shè)計(jì))題目:基于VHDL的頻率計(jì)設(shè)計(jì)專(zhuān)業(yè)代碼:作者姓名:  學(xué)號(hào):?jiǎn)挝唬何锢砜茖W(xué)與信息工程學(xué)院指導(dǎo)教師:        目錄引言11EDA技術(shù)發(fā)展概況11.1VHDL軟件設(shè)計(jì)簡(jiǎn)介11.2VHDL的開(kāi)發(fā)流程31.3MAX+PLUSⅡ開(kāi)發(fā)工具概述42設(shè)計(jì)實(shí)現(xiàn)52.1數(shù)字頻率計(jì)概述52.2數(shù)字頻率計(jì)的基本設(shè)計(jì)原理72.3測(cè)頻專(zhuān)用模塊功能描述及VHDL程序102.3.1基準(zhǔn)脈沖信號(hào)產(chǎn)生模塊102.3.2測(cè)頻時(shí)序控制電路模塊132.3.3計(jì)數(shù)模塊152.3.4鎖存器模塊182.3.5七段顯示譯碼器202.3.6動(dòng)

2、態(tài)掃描顯示模塊222.3.7頂層文件設(shè)計(jì)253總結(jié)274致謝285參考文獻(xiàn)296附錄30本科畢業(yè)論文(設(shè)計(jì))摘要隨著計(jì)算機(jī)技術(shù)超大規(guī)模集成電路EDA(ElectronicsDesignAutomation)技術(shù)的發(fā)展和可編程邏輯器件的廣泛應(yīng)用,傳統(tǒng)的自下而上的數(shù)字電路設(shè)計(jì)方法、工具器件已遠(yuǎn)遠(yuǎn)落后于當(dāng)今信息技術(shù)的發(fā)展。基于EDA技術(shù)和硬件描述語(yǔ)言的自上而下的設(shè)計(jì)技術(shù)正在承擔(dān)起越來(lái)越多的數(shù)字系統(tǒng)設(shè)計(jì)任務(wù)。在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此頻率的測(cè)量就顯得更為重

3、要。測(cè)量頻率的方法有多種,其中電子計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過(guò)程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。電子計(jì)數(shù)器測(cè)頻有兩種方式:一是直接測(cè)頻法,即在一定閘門(mén)時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻法,如周期測(cè)頻法。直接測(cè)頻法適用于高頻信號(hào)的頻率測(cè)量,間接測(cè)頻法適用于低頻信號(hào)的頻率測(cè)量。本論文采用自上向下的設(shè)計(jì)方法,基于VHDL硬件描述語(yǔ)言設(shè)計(jì)了一種數(shù)字頻率計(jì),并在Max+plusⅡ平臺(tái)上進(jìn)行了仿真。關(guān)鍵詞:EDA;VHDL;數(shù)字頻率計(jì);CPLD2本科畢業(yè)論文(設(shè)計(jì))Abstrac

4、tWiththedevelopmentofcomputer,VHDLandEDAandtheapplicationofprogrammablelogicdevices,thetraditionalbottom-updesignmethod,toolsanddeviceshavebeenfarbehindthedevelopmentofinformationtechnology.Thetop-downdesignmethodbasedontheEDAtechnologyandVHDLisusedtodesignthedi

5、gitalsystem.Beoneofthemostfundamentalparameterinelectrontechnologymediumfrequency,parametermeasurementscheme,measurementresultallhaveveryclosesomethingtodowithalotofelectricityand,thefrequencymeasurementlookslikebeingmoreimportantthereforerightaway.Themethodmeas

6、uringfrequencyhasvarious,amongthemtheelectroniccountermeasuresfrequencyhavingaccuracyheight,usageisconvenient,measurementisprompt,easytorealizemeasurementprocessautomationwaitsformeritand,countermeasuresfrequencyhavingtwokindsway:surefrequencylawfirstdirectly,be

7、tomeasurethepulsenumberthesignalismeasuredwithincertainsluicegatetime;Twoisindirectmeasurefrequencylaw,iftheperiodmeasuresfrequencylaw,Measurefrequencylawdirectlyapplyingtothehighfrequencysignal's.Inthispaper,adigitalcymometerisdesignedusingthetop-downmethodbase

8、donVHDLandthensimulatedonMax+plusⅡplatform.Keywords:EDA;VHDL;DigitalFrequencyCount;CPLD2本科畢業(yè)論文(設(shè)計(jì))本科畢業(yè)論文(設(shè)計(jì))基于VHDL的頻率計(jì)設(shè)計(jì)引言頻率是電子測(cè)量中一個(gè)最為基本的參量,在信號(hào)發(fā)生器以及振蕩器、各種倍頻和分頻電路的輸出信號(hào)

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶(hù)上傳,版權(quán)歸屬用戶(hù),天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶(hù)請(qǐng)聯(lián)系客服處理。