基于uvm對ip核uart的驗證研究與實現(xiàn)

基于uvm對ip核uart的驗證研究與實現(xiàn)

ID:35058976

大?。?.04 MB

頁數(shù):93頁

時間:2019-03-17

基于uvm對ip核uart的驗證研究與實現(xiàn)_第1頁
基于uvm對ip核uart的驗證研究與實現(xiàn)_第2頁
基于uvm對ip核uart的驗證研究與實現(xiàn)_第3頁
基于uvm對ip核uart的驗證研究與實現(xiàn)_第4頁
基于uvm對ip核uart的驗證研究與實現(xiàn)_第5頁
資源描述:

《基于uvm對ip核uart的驗證研究與實現(xiàn)》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫。

1、隱‘I巧麥柄觀峽專圍碩±學(xué)位論文胃9基于UVM對IP核UART的驗證研究與實現(xiàn)作者姓名張浩t學(xué)校導(dǎo)1)巧姓名、職疏薩龍ij教授企業(yè)導(dǎo)師姓名、職務(wù)郭蒙高工申請學(xué)位類別工程碩壬西安電子科技大學(xué)學(xué)位論義獨創(chuàng)性(或創(chuàng)新性)聲明乗承學(xué)校嚴(yán)謹(jǐn)?shù)膶W(xué)風(fēng)和優(yōu)良的科學(xué)道德,本人聲明所蘭交的論文是我個人在導(dǎo)帥指導(dǎo)下進巧的研免rj乍及取得的研巧成果。盡我所知,除了文中特別加■標(biāo)注和致謝中所羅列的內(nèi)容^?外,論文中不包含共他人己經(jīng)發(fā)表或撰寫過的研光成果;也不包含一為獲得西安電子科技大學(xué)或其它教育機構(gòu)的學(xué)位或吐書而使巧過的材料。與我同

2、作的同事對本研究所做的任何貢獻均已在論文中作了明確的說明并表示了謝意。學(xué)位論文若有不實之處一,本人承擔(dān)切法律責(zé)任。:之設(shè)化:如各。本人簽名曰期叫西安電子科技大學(xué)關(guān)于論文使用授權(quán)的說明:本人完全了解西安電子科技大學(xué)有關(guān)保留和使用學(xué)位論義的規(guī)定,即研巧生在校攻讀學(xué)位期問論文x作的知識產(chǎn)權(quán)厲于西安電子科技大學(xué)。學(xué)校有權(quán)保留送交論義,,的復(fù)印件允許查閱、借賴論文;學(xué)??晒颊撐牡娜炕虿糠謨?nèi)容允許采用影J巧、縮印或其它復(fù)制手段保存論文。同時本人保證,結(jié)合學(xué)位論文研究成果完成的論義。、發(fā)明專利等成果,署名單位為西安電子科技大學(xué)密論文在

3、密適。用本授權(quán)書保的學(xué)位^年解后:人簽:簽名名XiK師本導(dǎo)Z,心2夢::飾//(日日期期/學(xué)校代碼10701學(xué)號1311122950分類號TN4密級公開西安電子科技大學(xué)碩士學(xué)位論文基于UVM對IP核UART的驗證研究與實現(xiàn)作者姓名:張浩領(lǐng)域:軟件工程學(xué)位類別:工程碩士學(xué)校導(dǎo)師姓名、職稱:游海龍副教授企業(yè)導(dǎo)師姓名、職稱:郭蒙高工學(xué)院:微電子學(xué)院提交日期:2016年3月TheVerificationandImplementationofIPcoreUARTBasedonUVMAthesissubmittedtoXIDIANUNIVERSITYinpar

4、tialfulfillmentoftherequirementsforthedegreeofMasterinSoftwareEngineeringByZhangHaoSupervisor:YouHailongAssociateProfessorGuoMengSeniorEngineerMarch2016摘要摘要隨著SOC(SystemOnChip)設(shè)計復(fù)雜度的不斷提升與快速上市時間之間矛盾的日益凸顯,功能驗證已經(jīng)成為超大規(guī)模集成電路設(shè)計的嚴(yán)峻挑戰(zhàn)。對于傳統(tǒng)的IC(IntegratedCircuit)設(shè)計功能驗證占據(jù)整個芯片設(shè)計周期的一半以上,對于集成了大量IP(Intelle

5、ctualProperty)核的SOC來說,功能驗證以達到整個周期的80%,甚至更多。隨著SOC設(shè)計已成為當(dāng)今IC設(shè)計的發(fā)張趨勢,片上重用的IP模塊數(shù)越來越多,如何快速的驗證這些IP核已成為影響SOC設(shè)計發(fā)展的主要瓶頸。本章首先對IP核進行了簡單的概述,研究了IP目前的應(yīng)用現(xiàn)狀和未來的發(fā)展趨勢。同時詳細(xì)介紹了驗證語言的發(fā)展?fàn)顩r和功能驗證技術(shù)存在的問題,由于這些主流功能驗證存在驗證效率低、平臺的可重用性差、可靠性差和完備性無法保障等問題。為了解決上述問題,引入基于UVM(UniversalVerificationMethodology)高級驗證方法學(xué)的思想搭建驗證平臺對IP驗證

6、具有高效性、完備性、可靠性和可重用性等優(yōu)勢,以滿足現(xiàn)代SOC驗證的迫切需求。本文以實習(xí)期間一款SOC芯片中基于APB(AdvancedPeripheralBus)總線規(guī)范的UART(UniversalAsynchronousReceiver/Transmitter)IP核作為待測設(shè)計,異步收發(fā)器UART作為輸入輸出系統(tǒng)中的重要組成部分,已經(jīng)廣泛應(yīng)用于各類SOC芯片設(shè)計中,研究如何使用UVM驗證方法學(xué)搭建UART驗證平臺具有重要的實踐意義和參考價值。驗證前期詳細(xì)分析了使用UVM高級驗證方法學(xué)搭建模塊級驗證平臺的實現(xiàn)需求和策略,以確保驗證平臺的快速實現(xiàn),驗證過的程高效性、正確性、

7、充分性等。在完成了UVM的驗證平臺總體架構(gòu)以后,主要對驗證平臺中的UVC(UniversalVerificationComponent)的結(jié)構(gòu)和功能進行了詳細(xì)的研究和分析。并且重點分析每個組件的實現(xiàn)過程,最終搭建一個的可重用性好、效率高、和完備性好的驗證平臺。同時使用基本測試用例對仿真結(jié)果進行分析,通過覆蓋率報告證明了驗證工作的完備性和正確性。本文搭建的驗證平臺可復(fù)用到子系統(tǒng)或系統(tǒng)級驗證平臺,并且快速的完成驗證。并且此UART驗證平臺能擴展到相似的IP核以達到重用性,或者通過搭建此驗證平臺的原理和方法,

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。