modelsim仿真小結(jié)

modelsim仿真小結(jié)

ID:42562408

大?。?.31 MB

頁(yè)數(shù):17頁(yè)

時(shí)間:2019-09-17

modelsim仿真小結(jié)_第1頁(yè)
modelsim仿真小結(jié)_第2頁(yè)
modelsim仿真小結(jié)_第3頁(yè)
modelsim仿真小結(jié)_第4頁(yè)
modelsim仿真小結(jié)_第5頁(yè)
資源描述:

《modelsim仿真小結(jié)》由會(huì)員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在教育資源-天天文庫(kù)。

1、Modelsim仿真小結(jié)Modelsim的基本仿真流程大致分以下幾個(gè)步驟:建庫(kù)、編譯工程、前后仿真、調(diào)試等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件實(shí)現(xiàn),這里結(jié)合學(xué)習(xí)的教程、網(wǎng)上看到的資料,和實(shí)際遇到的一些問(wèn)題,分別做一整理小結(jié)。1.建庫(kù)建庫(kù)包括Altera庫(kù)和Xilinx庫(kù),同時(shí)都包括Verilog和VHDL。這里只建了Verilog庫(kù),VHDL和Verilog步驟相同。對(duì)于Altera庫(kù)主要包括lpm元件庫(kù)、Mega_Function庫(kù)atera_mf、altera原語(yǔ)庫(kù)altera_primitive和各器件系列模型庫(kù)。前三種是調(diào)用altera模塊

2、的必備庫(kù),第四種是進(jìn)行綜合后功能仿真和布線后時(shí)序仿真需要的庫(kù),和器件系列有關(guān),只選對(duì)應(yīng)系列即可。Altera庫(kù)創(chuàng)建和編譯步驟如下:a)在Modelsim安裝目錄下新建文件夾,命名altera_lib,以存放編譯后的庫(kù)文件,可以在altera_lib下新建Verilog和VHDL兩個(gè)子文件夾,分別存放Verilog和VHDL庫(kù)。b)打開(kāi)Modelsim,新建Library,file->new->library..c)如下圖,創(chuàng)建lpm庫(kù),路徑E:modeltech_10.1aaltera_libVeriloglpma)添加庫(kù)文件,并編譯,compile->compile…,出現(xiàn)

3、compilesourcefiles窗口,library指定到lpm下,查找范圍,選quartus安裝目錄下…edasim_lib目錄里的仿真原型文件:220model.v,點(diǎn)compile,點(diǎn)done。到此,lpm庫(kù)建立完畢。b)同理,建立altera_mf庫(kù)添加altera_mf.v,建立primitive庫(kù)添加altera_primitive.v建立各系列的模型庫(kù),命名可用系列名加_ver“xxx_ver”,也可隨意吧,添加各系列的xxx_atoms.v。這里,也可以把以上庫(kù)放在一個(gè)文件夾,這樣做簡(jiǎn)單,一次就搞定,分開(kāi)也就是條理清楚,沒(méi)人去看,所以沒(méi)必要。c)修改models

4、im.ini文件,為的是讓modelsim能自動(dòng)map到已經(jīng)編譯的這些庫(kù)上。先去掉只讀屬性,在[Library]和[vcom]之間加上:庫(kù)名=庫(kù)路徑[絕對(duì)路徑或者相對(duì)路徑],相對(duì)路徑是相對(duì)于modelsim安裝路徑,modelsim安裝路徑用$MODEL_TECH表示。這里所有庫(kù)都放在E:modeltech_10.1aaltera_lib下,庫(kù)名是altera_lib,這里只添加一句:altera_lib=E:modeltech_10.1aaltera_libd)保存退出,改回modelsim.ini只讀屬性,防止亂改。至此altera庫(kù)建立完畢。Xlinx庫(kù)創(chuàng)建和編譯步驟如

5、下:相比altera,xilinx建庫(kù)很方便。xilinx有批處理命令,直接在console命令窗口,輸入compxlib命令,調(diào)出編譯庫(kù)的窗口,先改掉modelsim安裝目錄下modelsim.ini只讀屬性。設(shè)置如下圖:Next,選擇語(yǔ)言:Next,選擇需要編譯的器件:Netx->next,選擇輸出路徑,launchcompileprocess,等待編譯完成。完成后改回modelsim.ini只讀屬性。至此xilinx庫(kù)建立完畢。1.編譯工程編譯工程主要包括:code、testbench、建立工程、建立工程庫(kù)、映射、編譯等。Code主要有code思想和風(fēng)格,在Verilog部分涉

6、及。Testbench,下邊給出一個(gè)公用模板,基于這個(gè)方便修改,可以根據(jù)自己的習(xí)慣建一個(gè)temp_tb.v。Modelsim界面編譯工程:a)新建工程:打開(kāi)modelsim,file->new->project..,指定工程名、路徑、工程庫(kù)。a)Addexistingfileb)Addtestbenchfile….c)編譯,compile–>compliealld)編譯語(yǔ)法查錯(cuò),直到編譯通過(guò)。至此編譯完畢。1.功能和時(shí)序仿真仿真是在編譯的基礎(chǔ)上,進(jìn)行的功能調(diào)試,包括綜合前功能仿真、綜合后功能仿真和布局布線后時(shí)序仿真。Modelsim界面綜合前仿真:a)指定頂層,開(kāi)始仿真;simula

7、tion->startsimulation…,在work庫(kù)中,指定testbench,ok,開(kāi)始仿真。b)查看仿真結(jié)果,打開(kāi)wave,信號(hào),加入wavea)運(yùn)行,查看波形。Run->runall….至此,簡(jiǎn)單的modelsim界面綜合前仿真介紹完畢。Modelsim界面綜合后仿真:綜合后仿真需要quartus綜合后的網(wǎng)表文件(以altera為例),a)綜合后的網(wǎng)表的生成:quartus工程名右鍵->setting–>EDAToolSetting,雙擊Sim

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁(yè),下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫(huà)的文件,查看預(yù)覽時(shí)可能會(huì)顯示錯(cuò)亂或異常,文件下載后無(wú)此問(wèn)題,請(qǐng)放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫(kù)負(fù)責(zé)整理代發(fā)布。如果您對(duì)本文檔版權(quán)有爭(zhēng)議請(qǐng)及時(shí)聯(lián)系客服。
3. 下載前請(qǐng)仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無(wú)法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請(qǐng)聯(lián)系客服處理。