電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】

電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】

ID:438327

大小:746.19 KB

頁數(shù):53頁

時(shí)間:2017-08-02

上傳者:U-944
電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】_第1頁
電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】_第2頁
電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】_第3頁
電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】_第4頁
電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】_第5頁
資源描述:

《電子秤的設(shè)計(jì)【畢業(yè)設(shè)計(jì)+開題報(bào)告+文獻(xiàn)綜述】》由會員上傳分享,免費(fèi)在線閱讀,更多相關(guān)內(nèi)容在學(xué)術(shù)論文-天天文庫。

本科畢業(yè)設(shè)計(jì)開題報(bào)告電子信息工程電子秤的設(shè)計(jì)一、綜述本課題國內(nèi)外研究動(dòng)態(tài),說明選題的依據(jù)和意義隨著經(jīng)濟(jì)的飛速發(fā)展,出售商品品種的增多以及交易頻率的倍增,對電子秤的需求也越來越明顯。近年來伴隨計(jì)算機(jī)在商業(yè)領(lǐng)域的滲透,單片機(jī)的應(yīng)用也正在隨之不斷地走向深入。于是,電子秤作為一種精確、智能、便捷、明了、可靠的稱量儀器,在我們現(xiàn)代快節(jié)奏的生活中應(yīng)運(yùn)而生,并在商業(yè)活動(dòng)中得到越來越廣泛的應(yīng)用,在人們的日常工作和生活中扮演這很重要的角色發(fā)揮了重要的作用。電子秤的發(fā)展過程與其他事物一樣,也經(jīng)歷了由簡單到復(fù)雜、由粗糙到精密、由機(jī)械到機(jī)電結(jié)合再到全電子化、由單一功能到多功能的過程。特別是近30年來,工藝流程中的現(xiàn)場稱重、配料定量稱重、以及產(chǎn)品質(zhì)量的檢測等工作都離不開能輸出電信號的電子衡器。這是由于電子衡器不僅能給出質(zhì)量或重量的信號,而且能作為總系統(tǒng)的一個(gè)單元來承擔(dān)著控制和校驗(yàn)的功能,從而推進(jìn)工業(yè)生產(chǎn)和貿(mào)易交往的自動(dòng)話和合理化。50年代中期電子技術(shù)的滲入推動(dòng)了衡器制造業(yè)的發(fā)展。60年代初期出現(xiàn)機(jī)電結(jié)合式電子衡器以來,經(jīng)過40多年的不斷改進(jìn)與完善,我國電子衡器從最初的機(jī)電結(jié)合型發(fā)展到現(xiàn)在的全電子型和數(shù)字智能型。電子衡器制造技術(shù)及應(yīng)用得到了全新的發(fā)展。電子稱重技術(shù)從靜態(tài)稱重向動(dòng)態(tài)稱重發(fā)展;計(jì)量方法從模擬測量向數(shù)字測量發(fā)展;測量特點(diǎn)已從單參數(shù)測量向多參數(shù)測量發(fā)展,特別是對快速稱重和動(dòng)態(tài)稱重的研究與應(yīng)用。但就總體而來說,我國電子衡器產(chǎn)業(yè)產(chǎn)品的數(shù)量和質(zhì)量與發(fā)達(dá)國家相比還有較大的差距,其主要差距在于技術(shù)與工藝不夠先進(jìn)、工藝裝備與測試儀表老化、開發(fā)能力不足、產(chǎn)品的品種規(guī)格較少、功能不全、穩(wěn)定性和可靠性較差等。XV 物品稱量是市場交易中很基本的活動(dòng),是商業(yè)領(lǐng)域最基本的衡具。傳統(tǒng)的量具是桿秤或盤秤,二十世紀(jì)70年代開始出現(xiàn)了電子秤。早期的電子秤多通過模擬電路實(shí)現(xiàn),隨著電子技術(shù)的不斷發(fā)展.?dāng)?shù)字芯片的價(jià)格逐漸下降,模擬控制已逐步被數(shù)字控制所取代,電子秤的設(shè)計(jì)模式也大都以微處理器為核心(單片機(jī)),使精度和可靠性都有了明顯得提高。因?yàn)樾⌒蜕逃秒娮映訉m時(shí)性要求不高,運(yùn)算也不太復(fù)雜,所以用八位微處理器足可滿足要求。電子稱重系統(tǒng)必須將多個(gè)傳感器的輸出進(jìn)行合算,才能得到完整準(zhǔn)確的稱重結(jié)果。從二十世紀(jì)70年代的模擬串聯(lián)和算到80年代的模擬并聯(lián)合算,合算技術(shù)的發(fā)展大幅度降低了電子秤的成本,提高了可靠性和穩(wěn)定性。但是模擬并聯(lián)合算也存在許多不足:如對傳感器的一致性要求比較高,無法對單個(gè)傳感器進(jìn)行檢測,電子秤四角偏差調(diào)試相對復(fù)雜等。目前,解決上述問題的最好方法是采用數(shù)字合算或數(shù)?;旌虾纤?。由于信號放大器成本的不斷下降以及A/D轉(zhuǎn)換器性能的大幅度提高,數(shù)字合算無論在技術(shù)上還是在經(jīng)濟(jì)上都進(jìn)入了實(shí)用階段。電子秤向提高精度和降低成本方向發(fā)展的趨勢引起了對低成本、高性能模擬信號處理器件需求的增加。目前大多數(shù)電子秤是以1:3000或1:10000的分辨率輸出最終的稱重值的,這樣的系統(tǒng)一般使用12bit至14bit的模數(shù)(A/D)轉(zhuǎn)換器就很容易滿足要求。目前,臺式電子秤在商業(yè)貿(mào)易中的使用已相當(dāng)普遍,但同時(shí)也存在部分局限性:體積大、成本高、應(yīng)用場所受到制約、需要工頻交流電源供應(yīng)、攜帶不便等?,F(xiàn)有的便攜秤為桿秤或用彈簧、拉伸形變來實(shí)現(xiàn)計(jì)量的彈簧秤,日常生活中用的基本都是桿秤。彈簧盤秤制造工藝要求比較高,彈簧的形變問題無法徹底解決,一旦超過彈簧彈性限度,彈簧秤就會產(chǎn)生很大誤差,以至損壞同時(shí)影響稱重的準(zhǔn)確性和可靠性,只是一種暫時(shí)的代用品,慢慢淡出我們的視線。多年來,人們一直期待測量準(zhǔn)確、攜帶方便、價(jià)格低廉的便攜式電子秤投放市場?;陔娮映拥默F(xiàn)狀,我所做的是一種用單片機(jī)控制的簡易電子秤設(shè)計(jì)方案。終上所述,本課題的主要設(shè)計(jì)思路是:利用稱重傳感器采集因壓力變化所產(chǎn)生的電壓信號,經(jīng)過電壓放大電路放大,然后再由模數(shù)(A/D)轉(zhuǎn)換器轉(zhuǎn)換為數(shù)字信號,最后把數(shù)字信號送入單片機(jī)。單片機(jī)經(jīng)過相應(yīng)的處理后,得出當(dāng)前稱重物品的重量及價(jià)格總額,然后再LCD顯示屏上顯示出來。此外,還可以通過鍵盤來設(shè)定所稱物體的價(jià)格。這種高精度智能電子秤體積小、計(jì)量準(zhǔn)確、攜帶方便,集質(zhì)量稱重功能和計(jì)價(jià)功能為一體,能夠滿足商業(yè)貿(mào)易和居民家庭使用的需求。XV 微處理器技術(shù)、傳感器技術(shù)的發(fā)展和計(jì)算機(jī)的廣泛應(yīng)用,電子產(chǎn)品的更新速度達(dá)到了日新月異的地步。本系統(tǒng)在設(shè)計(jì)過程中,除了能實(shí)現(xiàn)基本的稱重功能外,還具備鍵盤輸入、計(jì)價(jià)、報(bào)警的實(shí)用性比較高的功能。系統(tǒng)的微控制器部分選擇了兼容性比較好的AT89系列單片機(jī),在系統(tǒng)更新?lián)Q代的時(shí)候,只需要增加很少的硬件電路,甚至只要修改單片機(jī)系統(tǒng)的控制程序就能夠?qū)崿F(xiàn)。系統(tǒng)稱重范圍在0~9.999千克之間,精度為0.005千克,但是在實(shí)際的應(yīng)用當(dāng)中,稱重的對象往往可能超過這個(gè)額定范圍,因此本系統(tǒng)在設(shè)計(jì)的過程中還加入了超限報(bào)警模塊和人性化的人機(jī)交互模塊。電子稱重系統(tǒng)的設(shè)計(jì)核心是數(shù)據(jù)測量采集(稱重傳感器)模塊和控制器(單片機(jī))模塊。因此,單片機(jī)和城中傳感器的選擇成了至關(guān)重要的一個(gè)環(huán)節(jié)。稱重傳感器的選擇主要要考慮以下幾方面:(1)要考慮傳感器所處的實(shí)際工作環(huán)境;傳感器所處的工作工作環(huán)境情況對如何如何選用傳感器是至關(guān)重要的,它關(guān)系到傳感器是否能正常工作,關(guān)系到傳感器的安全和使用壽命,甚至影響整個(gè)電子秤的可靠性和安全性。(2)對傳感器量程的選擇;傳感器量程的選擇是依據(jù)秤的最大稱量值、秤的自重和可能產(chǎn)生的偏差等因素綜合評價(jià)來確定的。一般來說,傳感器的量程越接近負(fù)載,其稱量的準(zhǔn)確度就越高,但在實(shí)際的使用中,由于加載傳感器上的負(fù)載除了被稱物體外,還存在秤的自重、偏載及振動(dòng)沖擊等因素存在,因此在選用傳感器量程的時(shí)候,要考慮到多方面的因素,保證傳感器的安全和壽命。(3)傳感器精度選擇傳感器的準(zhǔn)確度等級概括了傳感器的非線性、蠕變、蠕變恢復(fù)、滯后、重復(fù)性、靈敏度等技術(shù)指標(biāo)。在選用的時(shí)候不應(yīng)該盲目追求高等級的傳感器,應(yīng)該考慮電子衡的準(zhǔn)確度等級和成本。一般情況下,選用傳感器的總精度為非線性、不重復(fù)性和滯后三項(xiàng)指標(biāo)的之和的均方根值略高于秤的精度??刂破鬟x擇MCS-51系列單片機(jī)。由ATMEL公司生產(chǎn)的AT89S52是一種低功耗、高性能COMS8位微控制器,具有8K在系統(tǒng)可編程Flash存儲器。隨著科技的進(jìn)步,對電子秤的要求也變得越來越高。影響電子秤稱量精度的因素主要有:機(jī)械結(jié)構(gòu)、稱重傳感器和顯示儀表。在機(jī)械結(jié)構(gòu)方面,因?yàn)閮x器材料結(jié)構(gòu)強(qiáng)度和剛度的限制,XV 容易使力的傳遞出現(xiàn)誤差,而稱重傳感器輸出特性存在非線性,,加上數(shù)據(jù)采集、信號放大、模數(shù)轉(zhuǎn)換等環(huán)節(jié)存在的非線性,使整個(gè)系統(tǒng)中的非線性誤差變得不容忽視。因此,在對精度要求較高的稱重場合,我們迫切需要電子秤能自動(dòng)校正系統(tǒng)的非線性誤差。此外,為了保證準(zhǔn)確、穩(wěn)定地顯示稱量值,儀器內(nèi)部分辨率(主要是ADC的分辨率)一般要比外部的顯示分辨率高4倍以上,這就要求我們所采用的ADC具有足夠的轉(zhuǎn)換位數(shù),但采用高精度的ADC,自然就增加了系統(tǒng)的成本。一、研究的基本內(nèi)容,擬解決的主要問題:設(shè)計(jì)并制作一個(gè)電子秤按照設(shè)計(jì)功能的要求,系統(tǒng)的硬件部分可分為最小系統(tǒng)模塊、數(shù)據(jù)采集模塊、人機(jī)交互模塊和系統(tǒng)電源模塊。設(shè)計(jì)主要技術(shù)指標(biāo)為:(1)稱量范圍0~9.999kg;(2)分度值0.005kg;(3)液晶顯示:所稱物體的重量。本系統(tǒng)各個(gè)部分的功能設(shè)計(jì)都是在理想狀態(tài)進(jìn)行的,但在設(shè)計(jì)的同時(shí)也要將外界環(huán)境對其測量精度的影響考慮在內(nèi),盡量實(shí)現(xiàn)電子秤測量的精確性,減少在現(xiàn)實(shí)中使用中存在諸多外界環(huán)境因素和系統(tǒng)本身非線性誤差對系統(tǒng)測量精度的影響。三、研究步驟、方法及措施:首先將研究國內(nèi)外對電子秤這方面的一些設(shè)計(jì)制作及研究成果,吸收各方面的設(shè)計(jì)方法及經(jīng)驗(yàn),取長補(bǔ)短,做到對于整個(gè)課題設(shè)計(jì)有一個(gè)整體的把握,使設(shè)計(jì)思路及論文編寫思路清晰,有較強(qiáng)的邏輯性。然后查閱諸多相關(guān)的書籍資料來確定本設(shè)計(jì)所需要的一些理論支持,同時(shí)找相關(guān)老師咨詢尋求理論幫助,論證設(shè)計(jì)研究的可行性,聽取指導(dǎo)老師的意見,讓理論指導(dǎo)實(shí)踐有序的進(jìn)行。最后,對論文進(jìn)行編寫,繪制PCB圖,對電路進(jìn)行調(diào)試,改進(jìn)不足之處,優(yōu)化設(shè)計(jì)并完成畢業(yè)設(shè)計(jì)的論文修改和充實(shí),完成設(shè)計(jì)報(bào)告終稿。四、參考文獻(xiàn)[1]施漢謙.電子秤技術(shù)[J].中國計(jì)量出版社.1991.[2]何小艇.電子系統(tǒng)設(shè)計(jì)[第三版][M].浙江大學(xué)出版社.2004.[3]樓然苗.51系列單片機(jī)設(shè)計(jì)實(shí)例[第二版][M].北京航空航天大學(xué)出版社.2008.[4]王幸之.AT89系列單片機(jī)原理及接口技術(shù)[M].北京航天航空出版社.2006.[5]馬心凱.基于FPGA的實(shí)用電子秤[J].低壓電器P15~23.2006.[6]王素珍,鄭淑芬,周梅.稱重配料系統(tǒng)傳感器電源及放大電路[J].傳感器技術(shù).2006.XV [7]盧京潮.自動(dòng)控制原理[第二版].西北工業(yè)大學(xué)出版社.2008.[8]閻石.數(shù)字電子技術(shù)基礎(chǔ)[第五版][M].高等教育出版社.2006.[9]李春茂.電子技術(shù)應(yīng)用[M].中國建材工業(yè)出版社.1999.[10]李華.單片機(jī)接口技術(shù)[M].北京航空航天大學(xué)出版社.1998.[11]陳爾紹.傳感器使用裝置[M].人民郵電出版社.1999.[12]王幸之.王雷單片機(jī)應(yīng)用系統(tǒng)抗干擾設(shè)計(jì)[M].北京航空航天大學(xué)出版社.2000.[13]候國章.測試與傳感技術(shù)[M].高等德育出版社.1998.[14]趙毅,牟同升,沈小麗.單片機(jī)系統(tǒng)中數(shù)字濾波的算法[J].2001.[15]張俊謨.單片機(jī)中級教程原理與應(yīng)用[M].北京航空航天大學(xué)出版社.1999.畢業(yè)設(shè)計(jì)文獻(xiàn)綜述XV 電子信息工程電子秤的設(shè)計(jì)前言電子秤(Electronicscale)是采用現(xiàn)代傳感器技術(shù)、電子技術(shù)和計(jì)算機(jī)技術(shù)一體化的電子稱量裝置,與其他事物一樣,也經(jīng)歷了由簡單到復(fù)雜、由粗糙到精密、由機(jī)械到機(jī)電結(jié)合再到全電子化、由單一功能到多功能的過程[1]。滿足并解決我們現(xiàn)實(shí)生活中提出的“快速、準(zhǔn)確、連續(xù)、自動(dòng)”稱量的要求,同時(shí)有效地消除人為誤差,使之符合法制計(jì)量管理,商業(yè)活動(dòng)和工業(yè)生產(chǎn)過程控制的應(yīng)用要求。稱重技術(shù)自古以來就被人們所重視,作為一種計(jì)量手段,廣泛應(yīng)用與工農(nóng)業(yè)、科研、交通、內(nèi)外貿(mào)易等的各個(gè)領(lǐng)域,與人們的生活緊密相連。電子秤是電子衡器的一種,衡器是國家法定的計(jì)量器具,是國計(jì)民生、國防建設(shè)、科學(xué)研究、內(nèi)外貿(mào)易不可缺少的計(jì)量設(shè)備,衡器產(chǎn)品技術(shù)水平的高低,將直接影響各行各業(yè)的現(xiàn)代化水平和社會經(jīng)濟(jì)效益的提高。稱重裝置不僅僅是提供質(zhì)量數(shù)據(jù)的單體儀表,而且作為工業(yè)控制領(lǐng)域和商業(yè)管理領(lǐng)域的一個(gè)重要組成部分,推進(jìn)著工業(yè)生產(chǎn)的自動(dòng)化以及管理的現(xiàn)代化,起到了縮短作業(yè)時(shí)間、改善操作條件、降低能源和材料的消耗、提高產(chǎn)品質(zhì)量以及加強(qiáng)企業(yè)管理、改善經(jīng)營管理等多方面的作用。稱重裝置的應(yīng)用已經(jīng)遍及倒國民經(jīng)濟(jì)的各個(gè)領(lǐng)域,取得了顯著的經(jīng)濟(jì)效益。因此,稱重技術(shù)的研究和衡器工業(yè)的發(fā)展每個(gè)國家都非常重視。50年代中期電子技術(shù)的滲入推動(dòng)了衡器制造業(yè)的發(fā)展。60年代初期出現(xiàn)機(jī)電結(jié)合式電子衡器以來,經(jīng)過40多年的不斷改進(jìn)與完善,我國電子衡器從最初的機(jī)電結(jié)合型發(fā)展到現(xiàn)代的全電子型。如今電子衡器制造技術(shù)和應(yīng)用得到了全新的發(fā)展。電子稱重技術(shù)從靜態(tài)稱重到動(dòng)態(tài)稱重發(fā)展;計(jì)量方式從模擬測量向數(shù)字測量發(fā)展;測量特點(diǎn)從單參數(shù)測量向多參數(shù)測量發(fā)展。XV 隨著第二次世界大戰(zhàn)后經(jīng)濟(jì)的復(fù)蘇,為了把稱重技術(shù)引入到生產(chǎn)工藝過程中去,對稱重技術(shù)提出了新的要求,希望將稱重過程實(shí)現(xiàn)自動(dòng)化,為此電子技術(shù)不斷的滲入到衡器制造業(yè)中。在1954年使用了帶新式打印機(jī)的傾斜杠桿式秤,其輸出信號能控制商用結(jié)算器,并且用電磁機(jī)構(gòu)與代替人工操做的按鍵與辦公機(jī)器連用。在1960年開發(fā)了與衡器相連的專門稱重值打印機(jī)。當(dāng)時(shí)的帶電子裝置的衡器其稱量工作是機(jī)械式的,但與稱重有關(guān)的顯示、記錄、遠(yuǎn)傳式控制器等功能是電子方式的。主題電子秤的發(fā)展過程[2]與其他事物一樣,也經(jīng)歷了由簡單到復(fù)雜、由粗糙到精密、由機(jī)械到機(jī)電結(jié)合再到全電子化、由單一功能到多功能的過程。特別是近30年來,工藝流程中的現(xiàn)場稱重、配料定量稱重、以及產(chǎn)品質(zhì)量的檢測等工作都離不開能輸出電信號的電子衡器。這是由于電子衡器不僅能給出質(zhì)量或重量的信號,而且能作為總系統(tǒng)的一個(gè)單元來承擔(dān)著控制和校驗(yàn)的功能,從而推進(jìn)工業(yè)生產(chǎn)和貿(mào)易交往的自動(dòng)話和合理化。近年來,電子秤已經(jīng)越來越多的參與到數(shù)據(jù)處理和過程控制中,現(xiàn)代稱重技術(shù)和數(shù)據(jù)系統(tǒng)已經(jīng)成為工藝制造、儲運(yùn)技術(shù)、預(yù)包裝技術(shù)、收貨業(yè)務(wù)以及商業(yè)銷售領(lǐng)域中不可或缺的一部分。隨著稱重傳感器各項(xiàng)性能的不斷突破,為電子秤的發(fā)展奠定了基礎(chǔ),國外如美國、西歐等一些國家在20世紀(jì)60年代就出現(xiàn)了0.1%稱量準(zhǔn)確度的電子秤,并在70年代中期約對75%的機(jī)械進(jìn)行了機(jī)電結(jié)合時(shí)的電子化改造。在我國,秤的使用已經(jīng)有著兩千多年的歷史,最早的有桿秤、臺秤、案秤等。我國的衡器行業(yè)是一個(gè)具有漫長發(fā)展歷史的傳統(tǒng)產(chǎn)業(yè)和重要的基礎(chǔ)行業(yè),多年以來都是以機(jī)械型衡器為主,到二十世紀(jì)八十年代開始擴(kuò)大對電子衡器的使用和對大型自動(dòng)衡器的研制,但其智能化程度與國外同類產(chǎn)品相比還有一定的差距。近年來伴隨計(jì)算機(jī)在商業(yè)領(lǐng)域的滲透,單片機(jī)的應(yīng)用也正在隨之不斷地走向深入。于是,電子秤作為一種精確、智能、便捷、明了、可靠的稱量儀器,在我們現(xiàn)代快節(jié)奏的生活中應(yīng)運(yùn)而生,并在商業(yè)活動(dòng)中得到越來越廣泛的應(yīng)用。所以我們需要研制出一種高精度的數(shù)字電子秤。通過查閱相關(guān)文獻(xiàn),我了解到一個(gè)電子秤最重要的部分為稱重傳感器,還有就是處理器也就是我的設(shè)計(jì)中用到的單片機(jī)。單片機(jī)也被稱為微控制器[3](Microcontroller),誕生于20世紀(jì)70年代末,最早被用在工業(yè)控制領(lǐng)域。經(jīng)歷了SCM、MCU、SOC三大階段?! ?.SCM即單片微型計(jì)算機(jī)(SingleChipMicrocomputer)階段,主要是尋求最佳的單片形態(tài)嵌入式系統(tǒng)的最佳體系結(jié)構(gòu)。“創(chuàng)新模式”獲得成功,奠定了SCM與通用計(jì)算機(jī)完全不同的發(fā)展道路。在開創(chuàng)嵌入式系統(tǒng)獨(dú)立發(fā)展道路上,Intel公司功不可沒?!V 2.MCU即微控制器(MicroControllerUnit)階段,主要的技術(shù)發(fā)展方向是:不斷擴(kuò)展?jié)M足嵌入式應(yīng)用時(shí),對象系統(tǒng)要求的各種外圍電路與接口電路,突顯其對象的智能化控制能力。它所涉及的領(lǐng)域都與對象系統(tǒng)相關(guān),因此,發(fā)展MCU的重任不可避免地落在電氣、電子技術(shù)廠家。從這一角度來看,Intel逐漸淡出MCU的發(fā)展也有其客觀因素。在發(fā)展MCU方面,最著名的廠家當(dāng)數(shù)Philips公司。Philips公司以其在嵌入式應(yīng)用方面的巨大優(yōu)勢,將MCS-51從單片微型計(jì)算機(jī)迅速發(fā)展到微控制器。因此,當(dāng)我們回顧嵌入式系統(tǒng)發(fā)展道路時(shí),不要忘記Intel和Philips的歷史功績?! ?.單片機(jī)是嵌入式系統(tǒng)的獨(dú)立發(fā)展之路,向MCU階段發(fā)展的重要因素,就是尋求應(yīng)用系統(tǒng)在芯片上的最大化解決;因此,專用單片機(jī)的發(fā)展自然形成了SOC化趨勢。隨著微電子技術(shù)、IC設(shè)計(jì)、EDA工具的發(fā)展,基于SOC的單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)會有較大的發(fā)展。因此,對單片機(jī)的理解可以從單片微型計(jì)算機(jī)、單片微控制器延伸到單片應(yīng)用系統(tǒng)。目前單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。單片機(jī)最早的設(shè)計(jì)理念[4]是通過將大量外圍設(shè)備和CPU集成在一個(gè)芯片中,使計(jì)算機(jī)系統(tǒng)更小,更容易集成進(jìn)復(fù)雜的而對體積要求嚴(yán)格的控制設(shè)備當(dāng)中。INTEL的Z80是最早按照這種思想設(shè)計(jì)出的處理器,從此以后,單片機(jī)和專用處理器的發(fā)展便分道揚(yáng)鑣。早期的單片機(jī)都是8位或4位的。其中最成功的是INTEL的8031,因?yàn)楹唵慰煽慷阅懿诲e(cuò)獲得了很大的好評。此后在8031上發(fā)展出了MCS51系列單片機(jī)系統(tǒng)?;谶@一系統(tǒng)的單片機(jī)系統(tǒng)直到現(xiàn)在還在廣泛使用。隨著工業(yè)控制領(lǐng)域要求的提高,開始出現(xiàn)了16位單片機(jī),但因?yàn)樾詢r(jià)比不理想并未得到很廣泛的應(yīng)用。90年代后隨著消費(fèi)電子產(chǎn)品大發(fā)展,單片機(jī)技術(shù)得到了巨大提高。隨著INTELi960系列特別是后來的ARM系列的廣泛應(yīng)用,32位單片機(jī)迅速取代16位單片機(jī)的高端地位,并且進(jìn)入主流市場。而傳統(tǒng)的8位單片機(jī)的性能也得到了飛速提高,處理能力比起80年代提高了數(shù)百倍。目前,高端的32位單片機(jī)主頻已經(jīng)超過300MHz,性能直追90年代中期的專用處理器,而普通的型號出廠價(jià)格跌落至1美元,最高端的型號也只有10美元。當(dāng)代單片機(jī)系統(tǒng)已經(jīng)不再只在裸機(jī)環(huán)境下開發(fā)和使用,大量專用的嵌入式操作系統(tǒng)被廣泛應(yīng)用在全系列的單片機(jī)上。而在作為掌上電腦和手機(jī)核心處理的高端單片機(jī)甚至可以直接使用專用的Windows和Linux操作系統(tǒng)。下面介紹下電子秤的基本功作原理[5]XV 為:當(dāng)物體放置在秤體的秤臺上時(shí),其質(zhì)量便通過秤體傳遞到稱重傳感器,傳感器隨之產(chǎn)生力電效應(yīng),將物體的重量轉(zhuǎn)換成與被稱物體質(zhì)量成一定函數(shù)關(guān)系的電信號(電壓或者電流}。此信號經(jīng)由放大電路進(jìn)行放大,經(jīng)濾波后再由模/數(shù)(A/D)轉(zhuǎn)換器進(jìn)行轉(zhuǎn)換,數(shù)字信號再送到微處理器的CPU(單片機(jī))進(jìn)行處理,CPU不斷掃描鍵盤和各種功能開關(guān),根據(jù)鍵盤輸入內(nèi)容和各種功能開關(guān)的狀態(tài)進(jìn)行必要的判斷、分析,由儀表的軟件來控制各種運(yùn)算。運(yùn)算的結(jié)果送到內(nèi)存儲器,需要顯示時(shí),CPU發(fā)出指令,從內(nèi)存儲器中讀出送到顯示器,或送打印機(jī)打印。一般的信號的放大、濾波、A/D轉(zhuǎn)換以及信號的各類運(yùn)算處理都在儀表中完成。稱重傳感器[6]是由非電量(質(zhì)量或重量)轉(zhuǎn)換成電量的轉(zhuǎn)換元件,是將質(zhì)量信號轉(zhuǎn)變?yōu)榭蓽y量的電信號輸出的裝置,它是把支撐力變換成電或者其他形式的適合與計(jì)量求值的信號所用的一種輔助手段。按照稱重傳感器的結(jié)構(gòu)型式不同,可以分為直接位移傳感器(電容式、電感式、電位計(jì)式、振弦式、空腔諧振器式等)和應(yīng)變傳感器(電阻應(yīng)變式、聲表面諧振式)或是利用磁彈性、電壓或電阻等物理效應(yīng)的傳感器。按轉(zhuǎn)換方法分為光電式、液壓式、電磁力式、電容式、磁極變形式、振動(dòng)式、陀螺儀式、電陰應(yīng)變式等,其中以電阻應(yīng)變式使用最廣。電阻應(yīng)變式傳感器[7]利用電阻應(yīng)變片變形時(shí)其電阻也隨之改變的原理工作。主要由彈性元件、電阻應(yīng)變片、測量電路和傳輸電纜四部分組成。電阻應(yīng)變片貼在彈性元件上,彈性元件受力變形時(shí),其上的應(yīng)變片隨之變形,并導(dǎo)致電阻改變。測量電路測出應(yīng)變片電阻的變化并變換為與外力大小成比例的電信號輸出。電信號經(jīng)處理后以數(shù)字形式顯示出被測物的質(zhì)量。電阻應(yīng)變式傳感器的稱量范圍為300克至數(shù)千千克,計(jì)量準(zhǔn)確度達(dá)1/1000~1/10000,結(jié)構(gòu)較簡單,而且可靠性較好,所以大部分電子衡器均使用此傳感器。電阻應(yīng)變式傳感器(straingaugetypeXV transducer)以電阻應(yīng)變計(jì)為轉(zhuǎn)換元件的電阻式傳感器。電阻應(yīng)變式傳感器由彈性敏感元件、電阻應(yīng)變計(jì)、補(bǔ)償電阻和外殼組成,可根據(jù)具體測量要求設(shè)計(jì)成多種結(jié)構(gòu)形式。常用的電阻應(yīng)變式傳感器有應(yīng)變式測力傳感器、應(yīng)變式壓力傳感器、應(yīng)變式扭矩傳感器、應(yīng)變式位移傳感器、應(yīng)變式加速度傳感器和測溫應(yīng)變計(jì)等。彈性敏感元件受到所測量的力而產(chǎn)生變形,并使附著其上的電阻應(yīng)變計(jì)一起變形。電阻應(yīng)變計(jì)再將變形轉(zhuǎn)換為電阻值的變化,從而可以測量力、壓力、扭矩、位移、加速度和溫度等多種物理量。電阻應(yīng)變式傳感器的優(yōu)點(diǎn)是精度高,測量范圍廣,使用壽命長,內(nèi)部結(jié)構(gòu)簡單,頻響特性好,能在惡劣條件下工作,易于實(shí)現(xiàn)小型化、整體化和品種多樣化。但同時(shí)它也存在許多不足,對于大應(yīng)變有較大的非線性、輸出信號較弱,不過可以采取一定的補(bǔ)償措施[8]。在文獻(xiàn)施漢謙《電子秤技術(shù)》中說到一個(gè)理想電子秤的精度及誤差問題,我認(rèn)為在我們做畢業(yè)設(shè)計(jì)的時(shí)候由于條件等各方面的原因,不可能達(dá)到理想中的要求,所以應(yīng)當(dāng)盡量減小誤差。精度的影響主要取決于傳感器的選擇,另外還有很多因素下面做詳細(xì)介紹。我認(rèn)為現(xiàn)實(shí)中設(shè)計(jì)一個(gè)電子秤要注意的誤差影響因素有這樣幾方面:(1)重物的沖擊對電子秤測量精度的影響。電子秤在使用的過程中會受到重物對稱重盤的沖擊,盡管在秤體結(jié)構(gòu)和傳感器的設(shè)計(jì)中采取了防撞限位措施,但劇烈的沖擊可能將使傳感器的限位措施受到損害,同時(shí)使當(dāng)前的測量值遠(yuǎn)大于重物實(shí)際的重量。因此在使用的過程中,稱重的物體應(yīng)盡量輕放,尤其是硬、重物體更應(yīng)該輕拿輕放,以免對秤體產(chǎn)生沖擊而影響其準(zhǔn)確度。(2)附加物對電子秤稱量精度的影響。電子秤在使用的過程中,為了方便起見,附加秤盤是最普遍的現(xiàn)象,因此在設(shè)計(jì)的過程中選擇稱重傳感器是,要考慮到附加秤盤的質(zhì)量,詳情會在正文中傳感器的選擇中具體解釋。(3)振動(dòng)和風(fēng)力對電子秤稱量精度的影響。振動(dòng)和風(fēng)力對小量程的電子秤精度影響比較大,例如一個(gè)最大量程為200g的電子秤,外界震動(dòng)以及風(fēng)力對測量結(jié)果的影響,會使最后結(jié)果產(chǎn)生較大的偏差。但相對大量程的電子秤,比如本設(shè)計(jì)最大量程30kg,該因素的影響則可以基本忽略。(4)環(huán)境溫度濕度對電子秤稱量精度的影響。在商業(yè)活動(dòng)中使用的成品電子秤,為了使傳感器能夠適應(yīng)溫度的變化,增添了溫度補(bǔ)償功能,使傳感器具備對輸出零點(diǎn)的輸出靈敏系統(tǒng)的自動(dòng)補(bǔ)償功能,使之能自動(dòng)根據(jù)環(huán)境溫度的變化來補(bǔ)償變量。但本設(shè)計(jì)在構(gòu)思的時(shí)候根據(jù)自身情況并沒有加入該功能,因此,要避免本系統(tǒng)在超高溫或低溫環(huán)境中工作,盡量避免外界環(huán)境溫度對其測量精度的影響。具體的問題還要等在實(shí)際做實(shí)物的時(shí)候考慮,碰到困難再具體分析,逐個(gè)攻破??偨Y(jié)通過這些天對電子秤相關(guān)文獻(xiàn)資料的查閱和收集,我經(jīng)過總結(jié),知道稱重技術(shù)從最初的發(fā)展至今已經(jīng)成為我們?nèi)粘I钪兄陵P(guān)重要的一部分。當(dāng)電子稱重方法進(jìn)入人們的視線時(shí),我們的生活也在進(jìn)行生著日新月異的變化。XV 隨著時(shí)間的推移,集成電路和計(jì)算機(jī)技術(shù)在不斷革新與完善,使電子儀器的整體水平發(fā)生巨大的變化,傳統(tǒng)的儀器逐步被智能儀器所取代。智能儀器的核心部件是單片機(jī),它可以嵌入到任何對象體系中去,實(shí)現(xiàn)智能化控制。集成器件級的低價(jià)位,低到幾元、十幾元,足以使單片機(jī)普及到許多民用家電、電子玩具中去。單片機(jī)構(gòu)成的現(xiàn)代電子系統(tǒng)已深入到各家各戶,正改變我們的生活。電子稱重以及電子秤的出現(xiàn)滿足了我們生活中對稱重“快速、準(zhǔn)確、連續(xù)、自動(dòng)”的要求,使我們的生活更加便捷,成為現(xiàn)代化經(jīng)濟(jì)建設(shè)中不可或缺的重要組成部分。通過對電子秤相關(guān)資料的查閱與整理,我們深深的感到,電子秤必然代替機(jī)械秤。電子秤會隨著我國的改革開放和企業(yè)的技術(shù)進(jìn)步,不斷地應(yīng)用到國民經(jīng)濟(jì)的各個(gè)部門。隨著稱重傳感器的開發(fā),以及高精度高性能單片機(jī)為核心的尖端技術(shù),電子秤領(lǐng)域會有一個(gè)大的發(fā)展,縮短與其他發(fā)達(dá)國家的差距,滿足我國經(jīng)濟(jì)建設(shè)的需要。通過完成這個(gè)電子秤的設(shè)計(jì),使我對電子秤以及稱重衡器這一領(lǐng)域有了更多的了解,爭取把我的設(shè)計(jì)做到最好。參考文獻(xiàn)[1]施漢謙.電子秤技術(shù)[J].中國計(jì)量出版社.1991.[2]何小艇.電子系統(tǒng)設(shè)計(jì)[第三版][M].浙江大學(xué)出版社.2004.[3]樓然苗.51系列單片機(jī)設(shè)計(jì)實(shí)例[第二版][M].北京航空航天大學(xué)出版社.2008.[4]王幸之.AT89系列單片機(jī)原理及接口技術(shù)[M].北京航天航空出版社.2006.[5]馬心凱.基于FPGA的實(shí)用電子秤[J].低壓電器P15~23.2006.[6]王素珍,鄭淑芬,周梅.稱重配料系統(tǒng)傳感器電源及放大電路[J].傳感器技術(shù).2006.[7]盧京潮.自動(dòng)控制原理[第二版].西北工業(yè)大學(xué)出版社.2008.[8]閻石.數(shù)字電子技術(shù)基礎(chǔ)[第五版][M].高等教育出版社.2006.[9]李春茂.電子技術(shù)應(yīng)用[M].中國建材工業(yè)出版社.1999.[10]李華.單片機(jī)接口技術(shù)[M].北京航空航天大學(xué)出版社.1998.[11]陳爾紹.傳感器使用裝置[M].人民郵電出版社.1999.[12]王幸之.王雷單片機(jī)應(yīng)用系統(tǒng)抗干擾設(shè)計(jì)[M].北京航空航天大學(xué)出版社.2000.[13]候國章.測試與傳感技術(shù)[M].高等德育出版社.1998.[14]趙毅,牟同升,沈小麗.單片機(jī)系統(tǒng)中數(shù)字濾波的算法[J].2001.[15]張俊謨.單片機(jī)中級教程原理與應(yīng)用[M].北京航空航天大學(xué)出版社.1999.XV 本科畢業(yè)設(shè)計(jì)電子秤的設(shè)計(jì)摘要XV 本文以單片機(jī)AT89S52為控制核心,實(shí)現(xiàn)對電子秤的基本控制功能。在研究和設(shè)計(jì)系統(tǒng)時(shí),為了更好地采用模塊化設(shè)計(jì),分步對各個(gè)單元功能模塊進(jìn)行設(shè)計(jì),系統(tǒng)的硬件部分可分為數(shù)據(jù)采集模塊、控制器模塊、人機(jī)交互模塊和系統(tǒng)電源模塊四個(gè)部分。軟件部分以單片機(jī)C語言進(jìn)行編程,實(shí)現(xiàn)了該設(shè)計(jì)的全部控制功能。系統(tǒng)數(shù)據(jù)采集模塊由稱重傳感器、信號前級處理和A/D轉(zhuǎn)換部分組成,其中包括運(yùn)算放大器AD620和A/D轉(zhuǎn)換器ICL7135;控制器模塊主要包括AT89S52單片機(jī)和擴(kuò)展的外部數(shù)據(jù)存儲器;人機(jī)交互模塊為鍵盤輸入和點(diǎn)陣式液晶顯示(LCD),主要包括ZLG7289鍵盤控制芯片和OCM4x8C顯示器,可以方便輸入數(shù)據(jù)和直觀顯示中文;系統(tǒng)電源模塊是以LM317和LM337為核心設(shè)計(jì)的電路來提供系統(tǒng)正常工作所需的電源。本文設(shè)計(jì)的電子秤可以實(shí)現(xiàn)基本的稱重功能(稱量范圍為0~9.999Kg,誤差不大于±0.005Kg),并能設(shè)置日期和設(shè)定十種商品的單價(jià)及顯示部分商品的購物清單,另外還可以在超量程和欠量程時(shí)實(shí)現(xiàn)報(bào)警功能。整個(gè)系統(tǒng)結(jié)構(gòu)簡單,使用方便,顯示清晰,功能齊全,精度較高,具有一定的開發(fā)和使用價(jià)值。本課題以電子秤的研究與設(shè)計(jì)作為應(yīng)用背景,分別對傳感器、模數(shù)轉(zhuǎn)換、單片機(jī)及其外部端口等相關(guān)技術(shù)進(jìn)行了分析。全文共分六章,第一章簡明地介紹了電子秤的概念特點(diǎn)以及相關(guān)領(lǐng)域研究的背景;第二章比較系統(tǒng)地論證了系統(tǒng)方案,包括系統(tǒng)原理的分析和闡述,各種優(yōu)缺點(diǎn)的比較;第三章給出了單片機(jī)系統(tǒng)地控制方案,同時(shí)對電路功能和硬件進(jìn)行了分析;第四章簡單介紹了系統(tǒng)軟件的流程,給出了各流程圖;第五章對整個(gè)課題的設(shè)計(jì)進(jìn)行了總結(jié),歸納其存在的相關(guān)問題和未來進(jìn)一步研究的方向。關(guān)鍵詞:單片機(jī);數(shù)據(jù)采集;A/D轉(zhuǎn)換器;稱重傳感器XV AbstractInthispaper,AT89S52microcontrollerasthecontrolcenterontheelectronicscaletoachievethebasiccontrolfunctions.Researchanddesignsystemsinordertobettermodulardesignofeachunitstepfunctionmoduledesign,thesystemcanbedividedintotheminimumhardwaresystemmodules,dataacquisitionmodules,interactivemodulesandsystempowersupplymodulefourparts.SoftwarepartofthemicrocontrollerCprogramminglanguagetorealizeallthecontrolfunctionsofthedesign.MinimumsystemmoduleincludesthehardwareAT89S52microcontrollerandexternalexpansiondatamemory;dataacquisitionmodulefromtheloadcell,signalprocessingandpre-classA/Dconversioncomponents,includingtheoperationalamplifierAD620andA/DConverterICL7135;machineinteractionmoduleforthekeyboardinputanddot-matrixliquidcrystaldisplay(LCD),includingZLG7289keyboardcontrolchipandOCM4x8Cdisplay,youcaneasilyinputdataandvisualdisplayofChinese.SystempowermoduleisdesignedasthecoreofLM317andLM337circuittoprovidethepowerrequiredforthesystemtowork.Thisdesignofelectronicweighingscalescanrealizethebasicfunctions(weighingrangeis0~9.999Kg,theerrorisnotgreaterthan±0.005Kg),andcansetthedateandsetdozensofcommoditypriceanddisplaysomeofthegoodsshopping0list,alsocanrangeintimeoverrangeandunderimplementationalarm.Thewholesystemissimple,easytouse,cleardisplay,full-featured,highaccuracy,thedevelopmentanduseofacertainvalue.Thetopicstostudyanddesignofelectronicscalesastheapplicationbackground,respectively,thesensor,ADC,MCUanditsexternalportsandotherrelatedtechnologieswereanalyzed.Paperisdividedintosixchapters,thefirstchapterconciselydescribestheconceptofelectronicscalesandrelatedresearchinthefieldcharacteristicsofthebackground;secondchaptersystematicallydemonstratedsystemsolutions,includingsystemanalysisandelaborationofprinciples,avarietyofcomparativeadvantagesanddisadvantages;ChapterthreeoftheSCMsystemisgivencontrolprograms,andhardwareonthecircuitfunctionsareanalyzed;fourthchapterintroducesthesoftwareprocess,giventheflowchart;theentirechaptersummarizesthedesignissuessummarizedtheexistingissuesandfutureresearchdirections.Keywords:microcontroller;datacollection;A/Dconverter;loadcellXV 目錄前言1第1章緒論21.1電子秤的研究動(dòng)態(tài)和發(fā)展趨勢21.2傳感器原理21.3本文設(shè)計(jì)任務(wù)3第2章系統(tǒng)方案設(shè)計(jì)與論證42.1系統(tǒng)設(shè)計(jì)原理及基本思路42.1.1系統(tǒng)設(shè)計(jì)基本思路42.1.1系統(tǒng)設(shè)計(jì)原理42.2系統(tǒng)總體方案比較與論證42.3單片機(jī)的選擇及概述7第3章系統(tǒng)硬件設(shè)計(jì)93.1基于AT89S52的主控電路93.1.1主控電路芯片介紹93.1.2主控電路簡介133.2基于ICL7135的前端信號處理系統(tǒng)133.2.1稱重傳感器L-PSⅢ133.2.2運(yùn)算放大器AD620143.2.3數(shù)據(jù)選擇器74LS157143.2.4A/D轉(zhuǎn)換器ICL7135143.2.5信號處理電路163.3人機(jī)交互模塊173.3.1基于OCM4X8C的顯示電路173.3.2基于ZLG7289的鍵盤控制電路193.4系統(tǒng)電源模塊213.4.1LM系列穩(wěn)壓器213.4.2電源電路設(shè)計(jì)213.5系統(tǒng)報(bào)警電路22第4章軟件設(shè)計(jì)234.1程序流程圖234.1中斷子程序流程圖24結(jié)論25XV 致謝26參考文獻(xiàn)27附錄1電氣圖28附錄2程序30XV 前言隨著經(jīng)濟(jì)的飛速發(fā)展,出售商品品種的增多以及交易頻率的倍增,對電子秤的需求也越來越明顯。而質(zhì)量是稱重領(lǐng)域中的一個(gè)重要參數(shù),稱重技術(shù)自古以來就被人們所重視。追溯到公元前,人們采用木材或陶土制作的容器對交換貨物進(jìn)行計(jì)量,從而達(dá)到對貨物的交換量進(jìn)行估計(jì)衡量。后來,又采用簡單的秤來測定質(zhì)量。秤是最普遍、最普及的計(jì)量設(shè)備,電子秤取代機(jī)械秤是科學(xué)技術(shù)發(fā)展的必然規(guī)律。據(jù)考證,世界上最古老的計(jì)量器具出土于中東和埃及,最古老的衡器和砝碼出自于埃及?,F(xiàn)代生活中,低成本、高智能化的電子秤無疑具有極其廣闊的市場前景。近年來伴隨計(jì)算機(jī)在商業(yè)領(lǐng)域的滲透,單片機(jī)的應(yīng)用也正在隨之不斷地走向深入。于是,電子秤作為一種精確、智能、便捷、明了、可靠的稱量儀器,在我們現(xiàn)代快節(jié)奏的生活中應(yīng)運(yùn)而生,并在商業(yè)活動(dòng)中得到越來越廣泛的應(yīng)用,在人們的日常工作和生活中扮演這很重要的角色發(fā)揮了重要的作用。電子秤的發(fā)展過程與其他事物一樣,也經(jīng)歷了由簡單到復(fù)雜、由粗糙到精密、由機(jī)械到機(jī)電結(jié)合再到全電子化、由單一功能到多功能的過程。特別是近30年來,工藝流程中的現(xiàn)場稱重、配料定量稱重、以及產(chǎn)品質(zhì)量的檢測等工作都離不開能輸出電信號的電子衡器。這是由于電子衡器不僅能給出質(zhì)量或重量的信號,而且能作為總系統(tǒng)的一個(gè)單元來承擔(dān)著控制和校驗(yàn)的功能,從而推進(jìn)工業(yè)生產(chǎn)和貿(mào)易交往的自動(dòng)話和合理化。21世紀(jì),越來越多的電子產(chǎn)品給人們帶來了諸多方便,其中電子秤是人們生活中不可缺少的一種稱量設(shè)備。各行各業(yè)大大小小的市場電子秤能夠完成許多工作,為人們節(jié)省了時(shí)間,提高了工作效率。特別是在交易商品市場中,超市里的一臺電子秤,它能很精確的稱出商品的重量,同時(shí)還具有去皮功能,能除去商品的皮重,讓顧客在購物中買的稱心。更主要的是,電子稱中預(yù)設(shè)了超市里出售商品的單價(jià),當(dāng)稱出該商品的重量后,馬上就能計(jì)算出它的價(jià)格,不管幾種商品都能一一累加,最后列出清單,給出總價(jià),而且非常的精確,方便和快捷就更不必說了。由此,顧客在購物的時(shí)候可以非常的放心,商家的效益也提高了,所以有了這樣的電子秤,顧客購物買的放心,商家也賣的開心。36 第1章緒論1.1電子秤的研究動(dòng)態(tài)和發(fā)展趨勢縱觀電子秤的發(fā)展過程,與當(dāng)代科學(xué)技術(shù)的進(jìn)步是密切相關(guān)的,二十世紀(jì)70年代開始出現(xiàn)了最早的電子秤。早期的電子秤多通過模擬電路實(shí)現(xiàn),隨著電子技術(shù)的不斷發(fā)展.?dāng)?shù)字芯片的價(jià)格逐漸下降,模擬控制已逐步被數(shù)字控制所取代,電子秤的設(shè)計(jì)模式也大都以微處理器為核心(單片機(jī)),使精度和可靠性都有了明顯得提高。因?yàn)樾⌒蜕逃秒娮映訉m時(shí)性要求不高,運(yùn)算也不太復(fù)雜,所以用八位微處理器足可滿足要求。電子秤在結(jié)構(gòu)和原理上取代了以杠桿平衡為原理的傳統(tǒng)機(jī)械式稱量工具。電子秤的設(shè)計(jì)首先是通過稱重傳感器采集被測物體的重量并將其轉(zhuǎn)換成電壓信號。輸出電壓信號通常很小,需要通過前端信號處理電路(運(yùn)放電路)進(jìn)行準(zhǔn)確的線性放大。放大后的模擬電壓信號經(jīng)A/D轉(zhuǎn)換電路轉(zhuǎn)換成數(shù)字信號被送入到主控電路的單片機(jī)中,再經(jīng)過單片機(jī)及外部擴(kuò)展的數(shù)據(jù)存儲器輸出到顯示端口,從而顯示出被測物體的重量。相比傳統(tǒng)的機(jī)械式稱量衡器,電子秤具有易于操作使用、裝機(jī)體積小、應(yīng)用范圍廣、稱量精度高等諸多優(yōu)點(diǎn),在工作原理、外部造型、結(jié)構(gòu)和材料上都是一種全新的計(jì)量衡器。目前市場上使用的稱量工具,或者結(jié)構(gòu)復(fù)雜,亦或者運(yùn)行不可靠,且成本高,精度穩(wěn)定性不好,調(diào)正時(shí)間長,易損件多,維修困難,裝機(jī)容量大,能源消耗大,生產(chǎn)成本高。而且目前市場上電子秤產(chǎn)品的整體水平不高,目前,臺式電子秤在商業(yè)貿(mào)易中的使用已相當(dāng)普遍,但同時(shí)也存在部分局限性:體積大、成本高、應(yīng)用場所受到制約、需要工頻交流電源供應(yīng)、攜帶不便等?,F(xiàn)有的便攜秤為桿秤或用彈簧、拉伸形變來實(shí)現(xiàn)計(jì)量的彈簧秤,日常生活中用的基本都是桿秤。彈簧盤秤制造工藝要求比較高,彈簧的形變問題無法徹底解決,一旦超過彈簧彈性限度,彈簧秤就會產(chǎn)生很大誤差,以至損壞同時(shí)影響稱重的準(zhǔn)確性和可靠性,只是一種暫時(shí)的代用品,慢慢淡出我們的視線。多年來,人們一直期待測量準(zhǔn)確、攜帶方便、價(jià)格低廉的便攜式電子秤投放市場。因此從技術(shù)上克服上述諸多缺點(diǎn),改善電子秤系統(tǒng)在應(yīng)用中的不足之處,具有現(xiàn)實(shí)意義。1.2傳感器原理傳感器是一種能把物理量或者化學(xué)量轉(zhuǎn)變成便于利用的電信號的器件。國際電工委員會(IEC:InternationalElectrotechnicalCommittee)對傳感器的定義為:“傳感器是測量系統(tǒng)中的一種前置部件,它將輸入變量轉(zhuǎn)換成可供測量的信號”。按照Gopel等公司的說法是:“傳感器是包括承載體和電路連接的敏感元件”。我國國家標(biāo)準(zhǔn)GB7665-87對傳感器下的定義是:“能感受規(guī)定的被測量并按照一定的規(guī)律轉(zhuǎn)換成可用信號的器件或裝置,通常由敏感元件和轉(zhuǎn)換元件組成”36 。傳感器是一種檢測裝置,能夠感受到被測量的信息,并能將檢測感受到的信息,按一定規(guī)律變換成為電信號或其他所需形式的信息輸出,以滿足各種信息的存儲、處理、傳輸、記錄、顯示和控制等要求。而“傳感器系統(tǒng)則是組合有某種信息處理(模擬或數(shù)字)能力的系統(tǒng)”。傳感器是傳感系統(tǒng)的一個(gè)重要組成部分,它是被測量信號輸入系統(tǒng)的第一道關(guān)口,是實(shí)現(xiàn)自動(dòng)檢測和自動(dòng)控制的首要環(huán)節(jié)。稱重傳感器是由非電量(質(zhì)量或重量)轉(zhuǎn)換成電量的轉(zhuǎn)換元件,是將質(zhì)量信號轉(zhuǎn)變?yōu)榭蓽y量的電信號輸出的裝置,它是把支撐力變換成電或者其他形式的適合與計(jì)量求值的信號所用的一種輔助手段。按照稱重傳感器的結(jié)構(gòu)型式不同,可以分為直接位移傳感器(電容式、電感式、電位計(jì)式、振弦式、空腔諧振器式等)和應(yīng)變傳感器(電阻應(yīng)變式、聲表面諧振式)或是利用磁彈性、電壓或電阻等物理效應(yīng)的傳感器。按轉(zhuǎn)換方法分為光電式、液壓式、電磁力式、電容式、磁極變形式、振動(dòng)式、陀螺儀式、電陰應(yīng)變式等,其中以電阻應(yīng)變式使用最廣。電阻應(yīng)變式傳感器利用電阻應(yīng)變片變形時(shí)其電阻也隨之改變的原理工作。主要由彈性元件、電阻應(yīng)變片、測量電路和傳輸電纜四部分組成。電阻應(yīng)變片貼在彈性元件上,彈性元件受力變形時(shí),其上的應(yīng)變片隨之變形,并導(dǎo)致電阻改變。測量電路測出應(yīng)變片電阻的變化并變換為與外力大小成比例的電信號輸出。電信號經(jīng)處理后以數(shù)字形式顯示出被測物的質(zhì)量。電阻應(yīng)變式傳感器的稱量范圍為300克至數(shù)千千克,計(jì)量準(zhǔn)確度達(dá)1/1000~1/10000,結(jié)構(gòu)較簡單,而且可靠性較好,所以大部分電子衡器均使用此傳感器。電阻應(yīng)變式傳感器以電阻應(yīng)變計(jì)為轉(zhuǎn)換元件的電阻式傳感器。電阻應(yīng)變式傳感器由彈性敏感元件、電阻應(yīng)變計(jì)、補(bǔ)償電阻和外殼組成,可根據(jù)具體測量要求設(shè)計(jì)成多種結(jié)構(gòu)形式。常用的電阻應(yīng)變式傳感器有應(yīng)變式測力傳感器、應(yīng)變式壓力傳感器、應(yīng)變式扭矩傳感器、應(yīng)變式位移傳感器、應(yīng)變式加速度傳感器和測溫應(yīng)變計(jì)等。彈性敏感元件受到所測量的力而產(chǎn)生變形,并使附著其上的電阻應(yīng)變計(jì)一起變形。電阻應(yīng)變計(jì)再將變形轉(zhuǎn)換為電阻值的變化,從而可以測量力、壓力、扭矩、位移、加速度和溫度等多種物理量。電阻應(yīng)變式傳感器的優(yōu)點(diǎn)是精度高,測量范圍廣,使用壽命長,內(nèi)部結(jié)構(gòu)簡單,頻響特性好,能在惡劣條件下工作,易于實(shí)現(xiàn)小型化、整體化和品種多樣化。但同時(shí)它也存在許多不足,對于大應(yīng)變有較大的非線性、輸出信號較弱,不過可以采取一定的補(bǔ)償措施。本文用到的傳感器為L-PSⅢ型稱重傳感器,數(shù)據(jù)采集模塊主要由彈性體、電阻應(yīng)變片電纜線等組成。1.3本文設(shè)計(jì)任務(wù)設(shè)計(jì)并制作一個(gè)電子秤按照設(shè)計(jì)功能的要求,系統(tǒng)的硬件部分可分為最小系統(tǒng)模塊、數(shù)據(jù)采集模塊、人機(jī)交互模塊和系統(tǒng)電源模塊。設(shè)計(jì)主要技術(shù)指標(biāo)為:(1)稱量范圍0~9.999kg;(2)分度值0.005Kg;(3)液晶顯示稱物體的重量。36 在考慮設(shè)計(jì)要求的同時(shí),還加入了部分的特色和創(chuàng)新,使得本設(shè)計(jì)更具人性化:(1)使用鍵盤輸入數(shù)據(jù),操作方便快捷;(2)在中文顯示所稱物體重量的同時(shí),還具有價(jià)格累加功能,可顯示物品的名稱、數(shù)量和單價(jià)及所有物品的總金額;(3)當(dāng)被稱物體的重量未符合電子秤的量程即超量程或欠量程時(shí),具有報(bào)警功能。第2章系統(tǒng)方案設(shè)計(jì)與論證2.1系統(tǒng)設(shè)計(jì)原理及基本思路2.1.1系統(tǒng)設(shè)計(jì)基本思路按照設(shè)計(jì)的基本要求,系統(tǒng)可分為四個(gè)模塊:數(shù)據(jù)采集模塊、控制器模塊、人機(jī)交互界面模塊和系統(tǒng)電源模塊。數(shù)據(jù)采集模塊采集數(shù)據(jù)將轉(zhuǎn)換后的數(shù)字信號送到控制器處理,由控制器完成對該數(shù)字量的處理后輸出到LCD顯示模塊完成人機(jī)間的信息交換。在擴(kuò)展創(chuàng)新功能上,本設(shè)計(jì)增加入了一個(gè)過載、欠量程報(bào)警提示。數(shù)據(jù)采集模塊由稱重傳感器、信號前級處理和A/D轉(zhuǎn)換部分組成,其中包括運(yùn)算放大器AD620和A/D轉(zhuǎn)換器ICL7135;控制器模塊主要包括AT89S52單片機(jī)和擴(kuò)展的外部數(shù)據(jù)存儲器;人機(jī)交互模塊為鍵盤輸入和點(diǎn)陣式液晶顯示(LCD),主要包括ZLG7289鍵盤控制芯片和OCM4x8C顯示器,可以方便輸入數(shù)據(jù)和直觀顯示中文;系統(tǒng)電源模塊是以LM317和LM337為核心設(shè)計(jì)的電路來提供系統(tǒng)正常工作所需的電源。2.1.1系統(tǒng)設(shè)計(jì)原理電子秤的工作原理如下:首先是通過稱重傳感器采集數(shù)據(jù),將被測物體的重量采集并轉(zhuǎn)換成電壓信號。這個(gè)時(shí)候的輸出電壓信號通常非常小,需要通過前級信號處理電路進(jìn)行準(zhǔn)確的線性放大。被放大后的模擬電壓信號經(jīng)A/D轉(zhuǎn)換電路轉(zhuǎn)換成數(shù)字信號后送入到主控電路的控制器單片機(jī)中,再經(jīng)過單片機(jī)控制譯碼顯示器,從而在LCD上顯示出被測物體的重量。在實(shí)際應(yīng)用中,為減少外界環(huán)境和電氣的干擾,盡量提高數(shù)據(jù)采集的精度,還需要在稱重傳感器和A/D轉(zhuǎn)換器之間加上信號調(diào)整電路。2.2系統(tǒng)總體方案比較與論證在設(shè)計(jì)系統(tǒng)方案時(shí),從各方面考慮,針對各個(gè)模塊所實(shí)現(xiàn)的功能對比有以下幾種方案:方案一36 數(shù)據(jù)采集單片機(jī)數(shù)碼顯示數(shù)碼顯示圖2.1方案一結(jié)構(gòu)圖系統(tǒng)結(jié)構(gòu)如圖2.1所示,此方案數(shù)碼管顯示被稱物品的重量,可以使用內(nèi)部帶有模數(shù)轉(zhuǎn)換的單片機(jī),硬件部分相對比較簡單。系統(tǒng)帶有鍵盤輸入功能,可以實(shí)現(xiàn)對稱重物品的計(jì)價(jià)。但缺點(diǎn)也比較明顯,雖然能實(shí)現(xiàn)電子秤的基本功能,但使用的數(shù)碼管如果沒有足夠的位數(shù),就會影響電子秤的精度,偏差會相對比較大,這樣的話,就需要較多的數(shù)碼管接入電路中,在處理輸入輸出接口時(shí)需要另行擴(kuò)展足夠的I/O端口易購數(shù)碼管使用,使整個(gè)電路變得比較繁瑣。另一方面,由于數(shù)碼管只能實(shí)現(xiàn)簡單的數(shù)字和英文字符的顯示,只能顯示單價(jià)、購物總金額以及簡單的物品代碼,不能顯示其漢子及其他的復(fù)雜字符,并不能達(dá)到設(shè)計(jì)的標(biāo)準(zhǔn)。方案二系統(tǒng)采用可編程門陣列(FPGA)為控制核心,并基于超高速硬件描述語言VHDL在Xilinx測量頻率范圍大,編程靈活、調(diào)試方便,同時(shí)還具有穩(wěn)定性好、抗干擾能力強(qiáng)等優(yōu)點(diǎn)。系統(tǒng)集成于XC2S100E芯片上,使得體積大大減小、邏輯單元靈活,且邏輯容量密度大,可大大減少印刷電路板的空間,減低系統(tǒng)功耗,可實(shí)現(xiàn)大規(guī)模和超大規(guī)模的集成電路。稱重傳感器前置放大器模數(shù)轉(zhuǎn)換時(shí)鐘芯片F(xiàn)PGA數(shù)碼管LCD顯示報(bào)警電路鍵盤電路鍵盤控制12C通訊數(shù)據(jù)處理LCE/LED驅(qū)動(dòng)圖2.2方案二系統(tǒng)結(jié)構(gòu)圖系統(tǒng)結(jié)構(gòu)如圖2.2所示,圖中可以直觀地看到系統(tǒng)工作流程及各單元分區(qū)。其中以FPGA為控制核心,基于ISE軟件平臺,采用VHDL編程實(shí)現(xiàn)數(shù)據(jù)的處理、時(shí)鐘芯片的12C通訊、LCD和LED顯示驅(qū)動(dòng)以及鍵盤控制等模塊。方案三單片機(jī)LCD顯示鍵盤控制A/D轉(zhuǎn)換器信號放大器稱重傳感器圖2.3方案三結(jié)構(gòu)圖此方案36 結(jié)構(gòu)簡圖如圖2.3所示。在顯示方面采用具有字符圖文顯示功能的LCD顯示器,前端信號處理時(shí),采用信號放大、A/D轉(zhuǎn)換、信號調(diào)整等措施。信號經(jīng)信號放大器放大整形后送入單片機(jī),由單片機(jī)對該信號進(jìn)行處理并根據(jù)相應(yīng)的數(shù)據(jù)關(guān)系譯碼輸出在LCD上顯示被測物品的重量。單片機(jī)控制適合于功能比較簡單的控制系統(tǒng),而且其具有成本低,功耗低,體積小算術(shù)運(yùn)算功能強(qiáng),技術(shù)成熟等優(yōu)點(diǎn)。但其缺點(diǎn)是外圍電路比較復(fù)雜,編程復(fù)雜。由于系統(tǒng)需要的按鍵較多,因此要加一個(gè)鍵盤顯示管理芯片(ZLG7289)。這種方案不僅加強(qiáng)了人機(jī)交換的能力,而且滿足設(shè)計(jì)要求,在中文顯示所稱物體重量的同時(shí),還具有價(jià)格累加功能,可顯示物品的名稱、數(shù)量和單價(jià)及所有物品的總金額。但使用此方案會給系統(tǒng)設(shè)計(jì)帶來一定的難度。雖然FPGA為核心的電子秤系統(tǒng)很優(yōu)化,但只有在大規(guī)模和超大規(guī)模集成電路中時(shí)其高集成度等特點(diǎn)才能更好地發(fā)揮,所以并不適合用作畢業(yè)設(shè)計(jì)方案。進(jìn)過多方面細(xì)致地考慮和分析,電子秤的設(shè)計(jì)并不是十分復(fù)雜,使用單片機(jī)完全可以實(shí)現(xiàn)設(shè)計(jì)所提出的要求,最終采用設(shè)計(jì)方案三。系統(tǒng)硬件的結(jié)構(gòu)如下圖2.4所示。36 單片機(jī)AT89S52片外數(shù)據(jù)存儲器62256稱重傳感器L-SPⅢ濾波電路運(yùn)算放大器AD620A/D轉(zhuǎn)換器ICL7135數(shù)據(jù)采集模塊鍵盤控制芯片ZLG728964鍵鍵盤LCD顯示屏OCM4X8C控制器模塊人機(jī)交互模塊圖2.4硬件系統(tǒng)框圖36 2.3單片機(jī)的選擇及概述單片機(jī)也被稱為微控制器(Microcontroller),誕生于20世紀(jì)70年代末,最早被用在工業(yè)控制領(lǐng)域。經(jīng)歷了SCM、MCU、SOC三大階段?! ?.SCM即單片微型計(jì)算機(jī)(SingleChipMicrocomputer)階段,主要是尋求最佳的單片形態(tài)嵌入式系統(tǒng)的最佳體系結(jié)構(gòu)?!皠?chuàng)新模式”獲得成功,奠定了SCM與通用計(jì)算機(jī)完全不同的發(fā)展道路。在開創(chuàng)嵌入式系統(tǒng)獨(dú)立發(fā)展道路上,Intel公司功不可沒?!?.MCU即微控制器(MicroControllerUnit)階段,主要的技術(shù)發(fā)展方向是:不斷擴(kuò)展?jié)M足嵌入式應(yīng)用時(shí),對象系統(tǒng)要求的各種外圍電路與接口電路,突顯其對象的智能化控制能力。它所涉及的領(lǐng)域都與對象系統(tǒng)相關(guān),因此,發(fā)展MCU的重任不可避免地落在電氣、電子技術(shù)廠家。從這一角度來看,Intel逐漸淡出MCU的發(fā)展也有其客觀因素。在發(fā)展MCU方面,最著名的廠家當(dāng)數(shù)Philips公司。Philips公司以其在嵌入式應(yīng)用方面的巨大優(yōu)勢,將MCS-51從單片微型計(jì)算機(jī)迅速發(fā)展到微控制器。因此,當(dāng)我們回顧嵌入式系統(tǒng)發(fā)展道路時(shí),不要忘記Intel和Philips的歷史功績?! ?.單片機(jī)是嵌入式系統(tǒng)的獨(dú)立發(fā)展之路,向MCU階段發(fā)展的重要因素,就是尋求應(yīng)用系統(tǒng)在芯片上的最大化解決;因此,專用單片機(jī)的發(fā)展自然形成了SOC化趨勢。隨著微電子技術(shù)、IC設(shè)計(jì)、EDA工具的發(fā)展,基于SOC的單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)會有較大的發(fā)展。因此,對單片機(jī)的理解可以從單片微型計(jì)算機(jī)、單片微控制器延伸到單片應(yīng)用系統(tǒng)。目前單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。單片機(jī)最早的設(shè)計(jì)理念是通過將大量外圍設(shè)備和CPU集成在一個(gè)芯片中,使計(jì)算機(jī)系統(tǒng)更小,更容易集成進(jìn)復(fù)雜的而對體積要求嚴(yán)格的控制設(shè)備當(dāng)中。INTEL的Z80是最早按照這種思想設(shè)計(jì)出的處理器,從此以后,單片機(jī)和專用處理器的發(fā)展便分道揚(yáng)鑣。早期的單片機(jī)都是8位或4位的。其中最成功的是INTEL的8031,因?yàn)楹唵慰煽慷阅懿诲e(cuò)獲得了很大的好評。此后在8031上發(fā)展出了MCS51系列單片機(jī)系統(tǒng)?;谶@一系統(tǒng)的單片機(jī)系統(tǒng)直到現(xiàn)在還在廣泛使用。隨著工業(yè)控制領(lǐng)域要求的提高,開始出現(xiàn)了16位單片機(jī),但因?yàn)樾詢r(jià)比不理想并未得到很廣泛的應(yīng)用。90年代后隨著消費(fèi)電子產(chǎn)品大發(fā)展,單片機(jī)技術(shù)得到了巨大提高。隨著INTELi960系列特別是后來的ARM系列的廣泛應(yīng)用,32位單片機(jī)迅速取代16位單片機(jī)的高端地位,并且進(jìn)入主流市場。而傳統(tǒng)的8位單片機(jī)的性能也得到了飛速提高,處理能力比起80年代提高了數(shù)百倍。目前,高端的32位單片機(jī)主頻已經(jīng)超過300MHz,性能直追90年代中期的專用處理器,而普通的型號出廠價(jià)格跌落至1美元,最高端的型號也只有10美元。當(dāng)代單片機(jī)系統(tǒng)已經(jīng)不再只在裸機(jī)環(huán)境下開發(fā)和使用,大量專用的嵌入式操作系統(tǒng)被廣泛應(yīng)用在全系列的單片機(jī)上。而在作為掌上電腦和手機(jī)核心處理的高端單片機(jī)甚至可以直接使用專用的Windows和Linux操作系統(tǒng)。本文設(shè)計(jì)所需要的單片機(jī)型號選擇應(yīng)從多方面進(jìn)行考慮:1、36 單片機(jī)的性能,應(yīng)根據(jù)系統(tǒng)的功能要求和各種單片機(jī)的性能,選擇在能達(dá)到較高的性能價(jià)格比的同時(shí)最容易實(shí)現(xiàn)系統(tǒng)技術(shù)指標(biāo)的型號。影響性能價(jià)格比的因素除單片機(jī)的性能價(jià)格外,還包括硬件和軟件設(shè)計(jì)的容易程度、相應(yīng)的工作量大小,以及開發(fā)工具的性能價(jià)格比。單片機(jī)性能及參數(shù)包括片內(nèi)硬件資源、指令系統(tǒng)功能、工作電壓、運(yùn)行速度、可靠性、體積和封裝形式等多方面,應(yīng)結(jié)合設(shè)計(jì)標(biāo)準(zhǔn)從這些方面綜合考慮選擇。2、市場貨源,從環(huán)境角度看,做畢業(yè)設(shè)計(jì),所以要盡量在市場上能夠提供的單片機(jī)中進(jìn)行選擇,一定要在市場上或者指導(dǎo)老師那里能夠提供的,最好是我們都比較了解和常用的型號,以方便研究和設(shè)計(jì)的有序進(jìn)行。3、研究周期,在設(shè)計(jì)任務(wù)重、時(shí)間緊的情況下,還要考慮所選的單片機(jī)型號是否熟悉,是否能馬上著手進(jìn)行系統(tǒng)的設(shè)計(jì)。與研制周期有關(guān)的另一個(gè)重要因素是開發(fā)工具,性能優(yōu)良的開發(fā)工具能加快系統(tǒng)地研制進(jìn)程。AT89S系列單片機(jī)是繼AT89C系列之后推出的功能更強(qiáng)的新產(chǎn)品。AT89S系列與AT89C系列相比增加了很多新功能,運(yùn)算速度有了較大的提高,它的靜態(tài)工作頻率為0~33MHz;片內(nèi)集成有雙數(shù)據(jù)指針DPTR,使數(shù)據(jù)使操作更加快捷方便;定時(shí)監(jiān)視器(watchdogtimer,又稱看門狗),使用戶的系統(tǒng)更堅(jiān)固;低功耗休閑狀態(tài)及關(guān)電方式、關(guān)電方式下的中斷恢復(fù)等諸多功能,極大地滿足了各種不同的應(yīng)用要求。盡管AT89S系列單片機(jī)新增加了不少功能但用戶也可以直接替換應(yīng)用系統(tǒng)中的AT89C51/52軟件硬件均不需作任何修改。AT89S52單片機(jī)是AT89S系列中的增強(qiáng)型高檔機(jī)產(chǎn)品,是一款低功耗、高性能的CMOS八位微控制器。采用Atmel公司高密度非易失性存儲器工藝技術(shù),與80C51產(chǎn)品指令和引腳完全兼容。片內(nèi)存儲器容量是AT89S51的一倍,即片內(nèi)8KB的Flash程序存儲器和256B的RAM。另外,它還增加了一個(gè)功能極強(qiáng)的、具有獨(dú)特應(yīng)用的16位定時(shí)/計(jì)數(shù)器2等多種功能。AT89S52單片機(jī)不需要燒寫器,只借助PC機(jī)的并口輸出和極為簡單的下載電路,就可將程序通過串行方式寫入到單片機(jī),這是它在各個(gè)領(lǐng)域使用最顯著的優(yōu)勢。并且下載電路可設(shè)計(jì)在系統(tǒng)中,可以隨時(shí)修改單片機(jī)的軟件而不對硬件做任何改動(dòng)。這也是本課程選擇AT89S52單片機(jī)的一個(gè)關(guān)鍵因素。經(jīng)過多方面的考慮,對目前眾多主流型號單片機(jī)的比較,我最終選擇了AT89S52普通單片機(jī)來實(shí)現(xiàn)電子秤系統(tǒng)的設(shè)計(jì)。AT89S52是一種兼容MCS51微控制器,工作電壓4.0V~5.5V,全靜態(tài)時(shí)鐘0Hz到33MHz,32個(gè)可編程I/O口,2/3個(gè)16位定時(shí)/計(jì)數(shù)器,6/8個(gè)中斷源,三級程序加密,全雙工串行通訊口,低功耗支持Idle和Power-down模式,Powerdown模式支持中斷喚醒,看門狗定時(shí)器,雙數(shù)據(jù)指針,上電復(fù)位標(biāo)志,并且在外面擴(kuò)展了32K數(shù)據(jù)存儲器,以滿足系統(tǒng)要求。36 第3章系統(tǒng)硬件設(shè)計(jì)按照設(shè)計(jì)的基本要求,系統(tǒng)可分為四個(gè)模塊:數(shù)據(jù)采集模塊、控制器模塊、人機(jī)交互界面模塊和系統(tǒng)電源模塊。數(shù)據(jù)采集模塊采集數(shù)據(jù)將轉(zhuǎn)換后的數(shù)字信號送到控制器處理,由控制器完成對該數(shù)字量的處理后輸出到LCD顯示模塊完成人機(jī)間的信息交換。在擴(kuò)展創(chuàng)新功能上還加入了一個(gè)超量程或欠量程的報(bào)警提示(報(bào)警電路)。3.1基于AT89S52的主控電路3.1.1主控電路芯片介紹1、芯片AT89S52。AT89S52單片機(jī)是ATMEL公司新近推出的AT89S系列中的增強(qiáng)型高檔機(jī)產(chǎn)品。ATMEL公司是美國20世紀(jì)80年代中期成立并發(fā)展起來的半導(dǎo)體公司。該公司的技術(shù)優(yōu)勢在于推出Flash存儲器技術(shù)和高質(zhì)量、高可靠性的生產(chǎn)技術(shù),它率先將獨(dú)特的Flash存儲技術(shù)注入于單片機(jī)產(chǎn)品中。其推出的AT89系列單片機(jī),在世界電子技術(shù)行業(yè)中引起了極大的反響,也在國內(nèi)受到廣大用戶的歡迎。AT89S52是一款低功耗、高性能的CMOS八位微控制器。器件采用ATMEL公司的高密度、非易失性存儲技術(shù)制造,兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng)及80C51引腳結(jié)構(gòu)。片內(nèi)含8kBytesISP(In-systemprogrammable)的可反復(fù)擦寫1000次的Flash只讀程序存儲器。芯片內(nèi)集成了通用8位中央處理器和ISPFlash存儲單元,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。AT89S52具有如下特點(diǎn):40個(gè)引腳,8字節(jié)Flash片內(nèi)程序存儲器,256字節(jié)的隨機(jī)存取數(shù)據(jù)存儲器(RAM),32個(gè)外部雙向輸入/輸出(I/O)口,5個(gè)中斷優(yōu)先級2層中斷嵌套,3個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,看門狗(WDT)電路,片內(nèi)時(shí)鐘振蕩器。此外,AT89S52設(shè)計(jì)和配置了振蕩頻率可為0Hz,支持兩種軟件可選擇節(jié)電模式。空閑模式下,CPU暫停工作,允許RAM、定時(shí)器/計(jì)數(shù)器、串行口、外中斷系統(tǒng)繼續(xù)工作。掉電模式凍結(jié)振蕩器而保存RAM的數(shù)據(jù),停止芯片其它功能直至外中斷激活或硬件復(fù)位。同時(shí)該芯片還具有PDIP、TQFP和PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。主要功能特性見表3.1AT89S53功能。表3.1AT89S52功能兼容MCS-51指令系統(tǒng)8k可反復(fù)擦寫(>1000次)ISPFlashROM4.5-5.5V工作電壓32個(gè)雙向I/O口256x8bit內(nèi)部RAM3個(gè)16位可編程定時(shí)/計(jì)數(shù)器時(shí)鐘頻率0-33MHz中斷喚醒省電模式低功耗空閑和省電模式2個(gè)外部中斷源靈活的ISP字節(jié)和分頁編程全雙工UART串行中斷口線36 軟件設(shè)置空閑和省電功能看門狗(WDT)電路3級加密位雙數(shù)據(jù)寄存器指針AT89S52引腳封裝如下圖3.1所示:圖3.1AT89S52引腳封裝AT89S52各引腳功能說明如下:Port1:P1是一個(gè)帶有內(nèi)部上拉電阻的8位雙向I/0端口,p1輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對P1端口置“1”時(shí),內(nèi)部上拉電阻將端口拉到高電平,作輸入用;作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。另外,P1.0、P1.1可以分別被用作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2)和觸發(fā)輸入(P1.1/T2EX);在flash編程和校驗(yàn)時(shí),P1端口接收低8位地址字節(jié)。引腳號第二功能:P1.0T2(定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出;P1.1T2EX(定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號和方向控制);P1.5MOSI、P1.6MISO、P1.7SCK分別在系統(tǒng)編程中使用。RST:復(fù)位輸入。當(dāng)振蕩器工作時(shí),有兩個(gè)機(jī)器周期(24個(gè)振蕩周期)以上的高電平出現(xiàn)在此管腳,將使單片機(jī)復(fù)位。只要這個(gè)管腳保持高電平,51芯片便循環(huán)復(fù)位。復(fù)位后P0—P3口均置1,管腳表現(xiàn)為高電平,程序計(jì)數(shù)器和特殊功能寄存器SFR全部清零。當(dāng)復(fù)位腳由高電平變?yōu)榈碗娖綍r(shí),芯片為ROM的00H處開始運(yùn)行程序。Port3:P3是一個(gè)帶有內(nèi)部上拉電阻的8位雙向I/0端口,p3輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對P3端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。在flash編程和校驗(yàn)時(shí),P3口也接收一些控制信號。P3口亦作為AT89S52特殊功能(第二功能)使用,如下表3.2所示。表3.2P3引腳功能引腳符號功能引腳符號功能P3.0串行通訊輸入(RXD)P3.4定時(shí)器0輸入(T0)36 P3.1串行通訊輸出(TXD)P3.5定時(shí)器1輸入(T1)P3.2外部中斷0(INT0)P3.6WR外部數(shù)據(jù)存儲器寫選通P3.3外部中斷1(INT1)P3.7RD外部數(shù)據(jù)存儲器寫選通XTAL1、XTAL2:外接晶體引腳。XTAL1接外部晶體的一個(gè)引腳,它是振蕩器反相放大器和內(nèi)部時(shí)鐘發(fā)生電路的輸入端。XTAL2則是輸出端,當(dāng)采用外部振蕩器時(shí),外部振蕩信號應(yīng)直接加到XTAL1,而XTAL2懸空。內(nèi)部方式時(shí),時(shí)鐘發(fā)生器對振蕩脈沖二分頻,如晶振為12MHz,時(shí)鐘頻率就為6MHz。晶振的頻率可以在1MHz至24MHz內(nèi)選擇,電容取30PF左右。END/VCC為接地/電源引腳。Port0:P0是一個(gè)8位漏極開路型雙向I/O端口,端口置1(對端口寫1)時(shí)作高阻抗輸入端;P0還可以用作總線方式下的地址數(shù)據(jù)復(fù)用管腳,用來操作外部存儲器。在這種工作模式下,P0口具有內(nèi)部上拉作用。對內(nèi)部Flash進(jìn)行程序存儲器編程,接收指令字節(jié)、輸出指令字節(jié)、校驗(yàn)程序時(shí),要求外接上拉電阻。EA/Vpp:外部訪問允許端。當(dāng)該引腳訪問外部程序存儲器時(shí),應(yīng)輸入低電平。要使AT89S52只訪問外部程序存儲器(地址為0000H-FFFFH),這時(shí)該引腳必須保持低電平。需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會鎖存EA端狀態(tài)。如EA端為高電平(接Vcc端),CPU則執(zhí)行內(nèi)部程序存儲器的指令。FLASH存儲器編程時(shí),該引腳加上+12V的編程允許電源Vpp,當(dāng)然這必須是該器件是使用12V編程電壓Vpp。ALE/PROG:訪問外部存儲器時(shí),ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。即使不訪問外部存儲器,ALE端仍以不變的頻率輸出正脈沖信號(此頻率是振蕩器頻率的1/6),在訪問外部數(shù)據(jù)存儲器時(shí),出現(xiàn)一個(gè)ALE脈沖。此外,該引腳會被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ALE禁止位無效。對FLASH存儲器編程期間,該引腳還用于輸入編程脈沖(PROG)。如有必要,可通過對特殊功能寄存器(SFR)區(qū)中的8EH單元的D0位置位,可禁止ALE操作。該位置位后,只有一條MOVX和MOVC指令才能將ALE激活。PSEN:該引腳是外部程序存儲器的選通信號輸出端。當(dāng)AT89S52由外部程序存儲器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖,在此期間,當(dāng)訪問外部數(shù)據(jù)存儲器,將跳過兩次PSEN信號。Port2:P2是一個(gè)帶有內(nèi)部上拉電阻的8位雙向I/0端口,P2口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,P2輸出緩沖器能驅(qū)動(dòng)AT89S52引腳PLCC封裝4個(gè)TTL邏輯電平。對P2端口寫“1”36 時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流(IIL)。在訪問外部程序存儲器或用16位地址讀取外部數(shù)據(jù)存儲器(例如執(zhí)行MOVX@DPTR)時(shí),P2口送出高八位地址。在flash編程和校驗(yàn)時(shí),P2口也接收高8位地址字節(jié)和一些控制信號。2、74LS373鎖存芯片。74LS373是典型的鎖存器芯片,它是三態(tài)輸出的八位鎖存器。芯片內(nèi)含八個(gè)D型觸發(fā)器,是一種比較常見的鎖存器。鎖存器是具有保存功能的芯片,常用于通過一些引線傳送信號時(shí),保存(記憶)這些引線上在時(shí)鐘作用前一時(shí)刻出現(xiàn)的地址信息,這種保存地址信息的鎖存器稱為地址鎖存器。74LS373鎖存芯片集成電路引腳如下圖3.2。圖3.274LS引腳74LS373功能如表3.3所示,當(dāng)時(shí)鐘端CP=1(高電平)時(shí),Q端輸出將隨數(shù)據(jù)輸入D而變。當(dāng)CP=0(低電平)時(shí),D觸發(fā)器輸出將鎖存已建立的電平。當(dāng)輸出控制端E=0(低電平)時(shí),將使八個(gè)輸出處于正常工作狀態(tài)(高電平或低電平輸出)。當(dāng)E=1(高電平)時(shí),將使鎖存器輸出處于高阻狀態(tài),從而不多總線加載,即不會影響總線上的數(shù)據(jù)。輸出控制端不影響觸發(fā)器的內(nèi)部鎖存功能,即已有的鎖存數(shù)據(jù)仍然保留,甚至當(dāng)輸出被關(guān)閉,新的數(shù)據(jù)也可被置入。表3.374LS373功能輸出控制數(shù)據(jù)輸入D時(shí)鐘端CP三態(tài)輸出011100100×01××高阻態(tài)3、芯片KM62256KM62256是三星公司生產(chǎn)的32K低功耗靜態(tài)RAM存儲器。RAM是隨機(jī)存取存儲器的簡稱,使用RAM時(shí)既能從任一指定地址讀?。ㄈ〕觯?shù)據(jù),也能寫入(存入)數(shù)據(jù),所以又叫讀寫存儲器。它讀、寫方便,但一旦斷電,所存儲的數(shù)據(jù)也隨即丟失,因此不利于數(shù)據(jù)的長期保存。62256的引腳功能如下表3.4所示。36 表3.462256引腳功能引腳符號功能D0~D7輸入輸出口A0~A14地址總線CS端口選擇(低電平有效)WE輸入使能(寫選通信號輸入線)OE輸出使能(讀選通信號輸入線)Vcc電源使能(工作電源+5V)GND接地3.1.2主控電路簡介AT89S52單片機(jī)P1端口和P2.0~P2.6作為地址總線,其中P2.0~P2.6端口做高地址線,P1端口作為低地址線和數(shù)據(jù)總線復(fù)用。ALE/PROG接鎖存器74LS373的使能端口E,P2.7作為存儲器KM62256的片選控制總線。P3.6和P3.7作為外部數(shù)據(jù)存儲器寫/讀選通信號輸出端分別接存儲器6KM2256的WE和OE端。連接好的主控電路如下圖3.3所示。圖3.3主控電路3.2基于ICL7135的前端信號處理系統(tǒng)3.2.1稱重傳感器L-PSⅢ系統(tǒng)所選擇的是量程為20Kg的L-PSⅢ型稱重傳感器,精度0.01,滿量程時(shí)誤差0.002Kg。設(shè)計(jì)標(biāo)準(zhǔn)要求稱量范圍9.999Kg,誤差不大于0.005Kg,考慮到秤盤自重以及稱重時(shí)放置物品的沖擊等因素,選擇的傳感器量程如果和設(shè)計(jì)要求的量程過于接近,很可能會因?yàn)槌砍潭鴮?dǎo)致?lián)p壞,因此在選擇傳感器的時(shí)候,量程必須大于額定要求。對比L-PSⅢ型稱重傳感器的參數(shù),完全符合系統(tǒng)設(shè)計(jì)要求。L-PSⅢ36 型稱重傳感器為鋁制雙孔懸臂梁形式,是電子秤的專用產(chǎn)品,被廣泛應(yīng)用于電子稱重行業(yè)。主要由彈性體、電阻應(yīng)變片和電纜線等組成,內(nèi)部線路采用惠斯通電橋。主要技術(shù)指標(biāo)參考下表:表3.5L-PSⅢ型稱重傳感器參數(shù)額定負(fù)載20Kg準(zhǔn)確度等級C3輸入電阻415~445輸出電阻349~355拱橋電壓12V(DC/AC)允許溫度范圍-20℃~+60℃允許過負(fù)載200%F.S連線方式輸入(+):紅輸入(-):白輸出(+):綠輸出(-):藍(lán)屏蔽:黃3.2.2運(yùn)算放大器AD620AD620是是一款低成本、低功耗、高精度儀表放大器。具有高精度(最大非線性度40ppm)、低失調(diào)電壓(最大50μV)和低失調(diào)漂移(最大0.6μV/℃)的特性。是電子秤和傳感器接口等精密數(shù)據(jù)采集系統(tǒng)的理想之選。僅需要一個(gè)外部電阻來設(shè)置增益,增益范圍為1~10000;±2.3V~±18V的電源電壓;帶寬120KHz(增益=100);建立時(shí)間15us(0.01%);輸入電壓噪聲9nV(1KHz);0.28uV噪聲(0.1Hz~10Hz);最小共模抑制比93dB(增益=10)。此外,AD620采用8引腳SOIC和DIP封裝,尺度小于分立電路設(shè)計(jì),最大電源電流只要1.3mA,因此非常適合電池供電,這也滿足了電子秤也帶方便的要求。AD620的增益是用電阻Rg來決定的,即用引腳1和8之間的阻抗來決定的。使用0.1%~1%的電阻,AD620就能提供精確的增益。對G(增益)=1,Rg引腳不連接(即Rg為無窮大)。其他的任何增益可按公式3.1計(jì)算:(3.1)3.2.3數(shù)據(jù)選擇器74LS157在讀取A/D轉(zhuǎn)換后的結(jié)果時(shí),選用數(shù)據(jù)選擇器作為數(shù)據(jù)讀取的控制器,這樣簡化了單片機(jī)的外圍接口電路,便于硬件的設(shè)計(jì)和軟件的編寫。74LS157為四組2選1數(shù)據(jù)選擇器。數(shù)據(jù)選擇端(S)為四組共用,供四組從各自的2個(gè)數(shù)據(jù)(A1、B1、A2、B2、A3、B3、A4、B4)中分別選取一個(gè)所需數(shù)據(jù),只有在四組共用的選通端G為低電平時(shí)才可選擇數(shù)據(jù)。由表3.6可見:當(dāng)/G=0和數(shù)據(jù)選擇控制輸入端S(/AB)=1時(shí),Y4、Y3、Y2、Y1分別等于B4、B3、B2、B1;當(dāng)/G=0和數(shù)據(jù)選擇控制輸入端S(/A36 B)=0時(shí),輸出Y等于A組輸入,即Y4、Y3、Y2、Y1分別等于A4、A3、A2、A1;當(dāng)輸出使能控制端/G=1時(shí),輸出腳Y4~Y1均為0,輸入輸出功能如下表3.6所示。表3.674LS157功能表輸入輸出10××××D3D2D1D0D3D2D1D000D3D2D1D0××××D3D2D1D0×1××××××××0000SGA4A3A2A1B4B3B2B1Y4Y3Y2Y13.2.4A/D轉(zhuǎn)換器ICL7135ICL7135是一種雙積分式4位半單片A/D轉(zhuǎn)換器,其內(nèi)部結(jié)構(gòu)分為模擬部分和數(shù)字部分。其中模擬部分受邏輯電路控制,通過12個(gè)模擬開關(guān)以導(dǎo)通和截止?fàn)顟B(tài)將一個(gè)轉(zhuǎn)換周期分為4段:自校零段、被測電壓積分采樣段、參考電壓回積段和積分器加零段。其工作原理是將輸入電壓轉(zhuǎn)換成時(shí)間(脈沖寬度信號)或頻率(俯沖頻率),再通過定時(shí)器(計(jì)數(shù)器)獲得數(shù)字信號。主要性能特點(diǎn)為:輸入阻抗達(dá)109Ω以上,對被測電路幾乎沒有影響;有超、欠壓輸出信號;自動(dòng)判別信號極性;自動(dòng)校零;有精確的差分輸入電路;采用位掃描與BCD碼輸出;輸出全部與TTL兼容。ICL7135引腳封裝如圖3.4所示。圖3.4ICL7135引腳封裝ICL7135功能參數(shù)如表3.7所示。表3.7ICL7135功能參數(shù)表參數(shù)基準(zhǔn)電容校準(zhǔn)電容時(shí)鐘頻率參數(shù)值1μF1μF±2V±5V40KHz~1MHz36 由于ICL7135內(nèi)部沒有振蕩器,所以需要外接。正向積分時(shí)間T1和反向積分時(shí)間T2按相同比例增加并不影響測量的結(jié)果,A/D轉(zhuǎn)換器精度與時(shí)鐘頻率的漂移無關(guān)。考慮到此系統(tǒng)頻率要求不是太高,因此選取時(shí)鐘頻率值500kHz。對于這個(gè)時(shí)鐘頻率,本設(shè)計(jì)采用阻容方式實(shí)現(xiàn)基本的振蕩電路得到。ICL7135時(shí)鐘頻率越高,轉(zhuǎn)換速度越快,典型的時(shí)鐘頻率值為200kHz,最高允許值為1200kHz,。每輸出一位BCD碼的時(shí)間為200個(gè)時(shí)鐘周期,選通脈沖位于數(shù)據(jù)脈沖的中部,如果時(shí)鐘頻率太高,則數(shù)據(jù)的接受程序還沒有接受完畢,數(shù)據(jù)就已經(jīng)消失了。由于A/D轉(zhuǎn)換器精度與外接的積分電阻、積分電容的精度無關(guān),可以相對降低對元件質(zhì)量的要求。不過積分電容和積分電容的介質(zhì)損耗也會影響到A/D轉(zhuǎn)換器的精度,所以應(yīng)采用介質(zhì)損耗較小的聚丙乙烯電容。因?yàn)镮CL7135芯片內(nèi)部的基準(zhǔn)電源一般容易受到溫度的影響,而基準(zhǔn)電源的變化會系統(tǒng)轉(zhuǎn)換精度影響非常大。所以本系統(tǒng)采用外接基準(zhǔn)源,由三端可調(diào)穩(wěn)壓器LM317穩(wěn)壓后提供,接典型值1V。圖中3.5中C3是基準(zhǔn)電容;C1和R2為積分元件;C2為自零電容;R6和C4組成標(biāo)準(zhǔn)的濾波網(wǎng)絡(luò)。1、時(shí)鐘頻率F的選擇(3.2)最大的干擾信號一般為供電電源的干擾,式中Ff為干擾信號的頻率,其頻率一般為50Hz。對于ICL7135,取N=10000,并取K=1,則F=500KHz。2、積分電容C(3.3)式中N=10000,取Vm=4V,F(xiàn)ck=500KHz,所以C=0.1uF。3、積分電阻R(3.4)式中,Vxm為滿量程電壓,取2V,則R=100K。3.2.5信號處理電路1、A/D轉(zhuǎn)換器ICL7135與單片機(jī)的接口電路在讀取A/D轉(zhuǎn)換后的數(shù)據(jù)結(jié)果時(shí),為了簡化了ICL7135與單片機(jī)的接口電路,便于硬件設(shè)計(jì)與軟件編程的實(shí)現(xiàn),系統(tǒng)選用數(shù)據(jù)選擇器作為數(shù)據(jù)讀取的控制器。在ICL7135進(jìn)行A/D轉(zhuǎn)換結(jié)束后,輸出的STB負(fù)脈沖引起AT89S52中斷。同時(shí)在第一個(gè)STB負(fù)脈沖時(shí)由軟件將P1.7口置0,因而使S=0,使數(shù)據(jù)選擇器74LS157的Y(Y1,Y2,Y3,Y4)=A(A4,A3,A2,A1)。AT89S52讀P1.0~P1.3口便讀得BCD碼,此時(shí)D5=1。此后,D4,D3,D2,D1輪流為“1”,即可讀得千位、百位、十位和個(gè)位的BCD碼。前端信號處理電路DE設(shè)計(jì)如下圖3.5所示:36 圖3.5前端信號處理電路ICL7135的輸出時(shí)序如圖3.6,所示,在A/D轉(zhuǎn)換結(jié)束后立即更新輸出鎖存器并不斷地掃描輸出BCD碼。在A/D轉(zhuǎn)換期間BUSY為低電平,轉(zhuǎn)換完畢后BUSY變?yōu)楦唠娖?。A/D轉(zhuǎn)換結(jié)束后立刻順序并連續(xù)不斷地輸出位驅(qū)動(dòng)信號D5、D4、D3、D2、D1(均為正脈沖)。當(dāng)D3、D2、D1為正脈沖時(shí)各對應(yīng)百、十、個(gè)位的BCD碼,當(dāng)D4為高電平時(shí),B8、B4、B2、B1是千位BCD碼。同理當(dāng)D5為高電平時(shí),B8、B4、B2、B1是萬位BCD碼。在A/D轉(zhuǎn)換完畢后,還連續(xù)輸出5個(gè)STB負(fù)脈沖,它們分別位于D5、D4、D3、D2、D1正脈沖的中間,脈沖寬度為T/2。圖3.6ICL7135輸出時(shí)序圖在設(shè)計(jì)時(shí),還考慮過使用另一種接口電路,這種方案雖然可以節(jié)省大量的單片機(jī)資源,減小系統(tǒng)的體積,減輕硬件部分工作量,但會增加軟件部分工作量,最后只作為參考并未采用。這種方法巧妙地運(yùn)用了ICL7135地“Busy”端功能,只要一個(gè)I/O口和單片機(jī)內(nèi)部的一個(gè)定時(shí)器就可把ICL7135的數(shù)據(jù)送人單片機(jī)。2、濾波放大電路如圖3.7所示。圖中電阻R3、R4選用較小的阻值,因?yàn)椴蓸有盘栯妷褐抵挥泻练墸云渥柚挡灰颂?,否則導(dǎo)致放大器由于輸入電流太小而放大效果不明顯;電容C7、C8用來濾除采樣信號電壓中的低頻噪聲,選用22μF的普通電容;電容C5、C6用來濾除采樣信號電壓中的高頻噪聲,選用0.1μF的普通電容。36 微弱信號Vi1和Vi2被分別放大后從AD620的第6腳輸出。由于ICL7135對高頻干擾不敏感,所以濾波電路主要針對工頻及其低次諧波引入的干擾。因?yàn)閴毫π盘栕兓志徛詾V波電路可以把頻率做得很低。傳感器的輸出電壓信號在0~20mv左右,A/D轉(zhuǎn)換器ICL7135的輸入電壓變化范圍是-2V~+2V,因此運(yùn)算放大器的放大倍數(shù)在200~300左右,可將R9接成1KΩ的滑動(dòng)變阻器。為了實(shí)現(xiàn)電子秤調(diào)零、去皮等功能,數(shù)據(jù)處理中還需要加入運(yùn)放LM741,LM741的2腳與6腳相連構(gòu)成電壓跟隨器,R15與正負(fù)電源相接,其輸出端與AD620的地端相連,原理是通過改變R15的阻值可使VO與RET之間的電壓差變化從而控制AT89S52來實(shí)現(xiàn)電子秤的調(diào)零和去皮等功能。圖3.7濾波放大電路3.3人機(jī)交互模塊人機(jī)交互模塊包括鍵盤輸入和顯示輸出兩部分,是電子秤和使用者人機(jī)交互的橋梁。鍵盤輸入是整個(gè)系統(tǒng)接收使用者指令的直接途徑,是電子秤必不可少的硬件。鍵盤由若干個(gè)按鍵開關(guān)組成,每一個(gè)按鍵相當(dāng)于一個(gè)機(jī)械開關(guān)點(diǎn),當(dāng)按下鍵時(shí),觸點(diǎn)閉合,按鍵松開時(shí),觸電斷開。由單片機(jī)接收觸點(diǎn)信號后作出相應(yīng)的信號功能處理。顯示輸出部分可以讓使用者直觀地看到稱重物品的重量和其他信息,采用可以設(shè)置顯示物品單價(jià)、金額、中文名稱和購物日期等信息的LCD顯示器。3.3.1基于OCM4X8C的顯示電路本系統(tǒng)使用帶字庫的點(diǎn)陣式128×64型LCD-OCM4X8C顯示器,可與CPU直接連接。該模塊具有2.7V~5.5V的寬工作電壓范圍,正常及低功耗工作模式,可滿足系統(tǒng)各種工作電壓及便攜式儀器低功耗的要求,另外具有LED背光顯示功能。液晶模塊顯示負(fù)電壓,也由模塊提供,從而簡化了系統(tǒng)電源設(shè)計(jì)。OCM4x8C具有串/并接口,內(nèi)置國際GB2312碼簡體中文字庫??蓪?shí)現(xiàn)漢字、ASCII碼、點(diǎn)陣圖形、自造字體的同屏顯示。為便于和多種微處理器、單片機(jī)接口,模塊提供了4位并行、8位并行、2線串行、3線串行多種接口方式。具有光標(biāo)顯示、畫面位移和睡眠模式等多種功能。OCM4x8C的液晶顯示屏為128×64點(diǎn)陣,可顯示4行、每行8個(gè)漢字。為了便于英文和其它常用字符的顯示,具有16Kb的16×8點(diǎn)陣的ASCII字符庫;為便于構(gòu)造用戶圖形,提供了一個(gè)64×36 256點(diǎn)陣的GDRAM繪圖區(qū)域,且為了便于構(gòu)造用戶所需字型,提供了4組16×16點(diǎn)陣的造字空間;同時(shí),為了便于簡單、方便地顯示漢字,該模塊具2Mb的中文字型CGROM,該字型ROM中含有8192個(gè)16×16點(diǎn)陣中文字庫。其各引腳說明見表3.8:表3.8OCM4x8C引腳功能引腳名稱功能引腳名稱功能1VSSGND(0V)11DB4數(shù)據(jù)42VDD邏輯電源(+5V)12DB5數(shù)據(jù)53V0LCD電源(懸空)13DB6數(shù)據(jù)64RS(CS)H:數(shù)據(jù),L:指令14DB7數(shù)據(jù)75R/W(SID)H:讀,L:寫15PSBH:并行,L:串行6E(SCLK)使能16NC空腳7DB0數(shù)據(jù)017RST復(fù)位(低電平有效)8DB1數(shù)據(jù)118NC空腳9DB2數(shù)據(jù)219LEDA背光源正極(LED+5V)10DB3數(shù)據(jù)320LEDK背光源負(fù)極(LED-0V)電路圖中PSB接低電平,進(jìn)入串行接口模式;RS固定接高電平;串行時(shí)鐘線SCLK接P1.6;串行數(shù)據(jù)線SID接P3.1口。此為典型二線串行模式。OCM4x8C允許同時(shí)接入多個(gè)液晶顯示模塊以完成多路信息顯示功能。通常情況下,當(dāng)系統(tǒng)僅使用一個(gè)液晶顯示模塊時(shí),“CS”可連接固定的高電平。當(dāng)模塊的PSB腳接低電平時(shí),模塊即進(jìn)入串行接口模式。此時(shí),要利用片選端“CS”構(gòu)成3線串行接口方式,當(dāng)“CS”接高電位時(shí),模塊可正常接收并顯示數(shù)據(jù),否則模塊顯示將被禁止。串行模式使用串行數(shù)據(jù)線SID與串行時(shí)鐘線SCLK來傳送數(shù)據(jù),即構(gòu)成2線串行模式。模塊工作操作時(shí)序如下圖所示:圖3.82線串行時(shí)序圖從時(shí)序圖中可以看出,單片機(jī)與液晶模塊之間傳送1字節(jié)的數(shù)據(jù)共需24個(gè)時(shí)鐘脈沖。首先,單片機(jī)要給出數(shù)據(jù)傳輸起始位,這里是以5個(gè)連續(xù)的“1”作數(shù)據(jù)起始位,如模塊接收到連續(xù)的5個(gè)“1”,則內(nèi)部傳輸被重置并且串行傳輸將被同步。緊接著,“RW”位用于選擇數(shù)據(jù)的傳輸方向(讀或?qū)懀?,“RS”位用于選擇內(nèi)部數(shù)據(jù)寄存器或指令寄存器,最后的第8位固定為“0”。在接收到起始位及“RW”36 和“RW”的第1個(gè)字節(jié)后,下一個(gè)字節(jié)的數(shù)據(jù)或指令將被分為2個(gè)字節(jié)來串行傳送或接收。需要注意的是,當(dāng)有多個(gè)數(shù)據(jù)或指令要傳送時(shí),必須要等到一個(gè)指令完成執(zhí)行完畢后再傳送下一個(gè)指令或數(shù)據(jù),否則,會造成指令或數(shù)據(jù)的丟失。這是因?yàn)橐壕K內(nèi)部沒有發(fā)送/接收緩沖區(qū)。數(shù)據(jù)或指令的低4位被放在第3個(gè)字節(jié)的高4位,其低4位也置為“0”,如此完成一個(gè)字節(jié)指令或數(shù)據(jù)的傳送;數(shù)據(jù)或指令的高4位,被放在第2個(gè)字節(jié)串行數(shù)據(jù)的高4位,其低4位則置為“0”。3.3.2基于ZLG7289的鍵盤控制電路ZLG7289是周立功單片機(jī)公司設(shè)計(jì)的串行輸入輸出可編程鍵盤/顯示芯片。作為強(qiáng)大的鍵盤掃描顯示芯片,其內(nèi)部有譯碼電路,支持64鍵控制,可以比較方便地?cái)U(kuò)展系統(tǒng),并且大大簡化系統(tǒng)程序。ZLG7289采用串行方式與微處理器通訊,串行數(shù)據(jù)從DATA引腳送入芯片,并由CLK端同步。當(dāng)片選信號變?yōu)榈碗娖胶?,DATA引腳上的數(shù)據(jù)在CLK引腳的上升沿被寫入ZLG7289的緩沖寄存器。鍵盤控制芯片ZLG7289控制鍵盤的掃描,工作狀態(tài)當(dāng)監(jiān)測到有鍵按下后其9腳便產(chǎn)生一個(gè)低電平通知單片機(jī),單片機(jī)可以采用查詢或者中斷方式將數(shù)據(jù)通過P3.0口以串行方式讀入,但查詢方式會浪費(fèi)大量的時(shí)間,所以本系統(tǒng)采用的是中斷方式。圖中P1.5口接/CS;P1.6口接CLK;P1.0口接DIO;P3.2口接/KEY,利用中斷0通知AT89S52讀數(shù)。鍵盤控制電路如下圖3.9所示。圖3.9鍵盤控制電路圖ZLG7289的指令結(jié)構(gòu)有三種類型:1、讀取鍵盤數(shù)據(jù)指令寬度為16個(gè)BIT,前8個(gè)為微處理器發(fā)送到ZLG7289的指令,后8個(gè)BIT為ZLG7289返回的鍵盤代碼,執(zhí)行此指令時(shí)ZLG7289的DATA端在第9個(gè)CLK脈沖的上升沿變?yōu)檩敵鰻顟B(tài)并與第16個(gè)脈沖的下降沿恢復(fù)為輸入狀態(tài),等待接收下一個(gè)指令;36 圖3.10讀鍵盤指令時(shí)序圖2、不帶數(shù)據(jù)的純指令,指令的寬度為8個(gè)BIT即微處理器需發(fā)送8個(gè)CLK脈沖,其時(shí)序如下圖3.11所示;圖3.11不帶數(shù)據(jù)指令時(shí)序圖3、帶有數(shù)據(jù)的指令寬度為16個(gè)BIT即微處理器需發(fā)送16個(gè)CLK脈沖,其時(shí)序如下圖3.12所示。圖3.12帶數(shù)據(jù)指令時(shí)序圖經(jīng)過綜合分析,鍵盤控制電路參數(shù)選擇參考如下:ZLG7289需要一外接晶體振蕩電路供系統(tǒng)工作,其典型值分別為F=16MHzC=15pF。實(shí)際使用時(shí)取F=12MHz,C=15pF。取上拉電阻為10K,下拉電阻為100K,8只下拉電阻和8只鍵盤連接位選線DIG0~DIG7的8只位選電阻應(yīng)遵從一定的比例關(guān)系,下拉電阻應(yīng)大于位選電阻5倍而小于其50倍,典型值為10倍,下拉電阻的取值范圍是10K~100K,位選電阻的取值范圍是1K~10K。36 3.4系統(tǒng)電源模塊3.4.1LM系列穩(wěn)壓器硬件系統(tǒng)中的很多模塊,正常工作的額定電壓都不一樣。在為了滿足系統(tǒng)的工作要求,系統(tǒng)電源需滿足以下幾種:+12V、-12V、+5V、-5V、+1V。其中+12V、-12V為運(yùn)算放大器AD620的工作電壓;+1V為其工作時(shí)的基準(zhǔn)電壓;+5V、-5V為A/D轉(zhuǎn)換器ICL7135的工作電壓,其他各個(gè)芯片的工作電壓VCC均為+5V。穩(wěn)壓電源的技術(shù)指標(biāo)分為兩種:一種是質(zhì)量指標(biāo),用來衡量輸出直流電壓的穩(wěn)定程度,包括穩(wěn)壓系數(shù)、輸出電阻、溫度系數(shù)及紋波電壓等;另一種是特性指標(biāo),包括允許的輸入電壓、輸出電壓、輸出電流及輸出電壓調(diào)節(jié)范圍等。LM337是一種外接很少元件就能工作的三端可調(diào)式集成穩(wěn)壓器,它的三個(gè)接線端分別稱為調(diào)整端、輸入端、輸出端。其內(nèi)部電路有偏置電路、恒流源電路、比較放大電路和帶隙基準(zhǔn)電路等,它的器件本身無接地端,公共端改接到輸出端。內(nèi)部的基準(zhǔn)電壓(約1.2V)接至比較放大器的調(diào)整端和同相端之間,所以消耗的電流都從輸出端流出。系統(tǒng)傳感器電源的設(shè)計(jì)直接影響系統(tǒng)的穩(wěn)定性和精準(zhǔn)度。經(jīng)反復(fù)試驗(yàn)發(fā)現(xiàn),采用差動(dòng)式電源可將電源的波動(dòng)部分中和掉,大大提高電橋輸出精度及穩(wěn)定性;采用一級穩(wěn)壓,穩(wěn)壓器采用78系列,稱重誤差為10%,屏幕顯示的稱重?cái)?shù)據(jù)變化較大,各部分之間協(xié)調(diào)性相對比較差。若采用二級穩(wěn)壓,穩(wěn)壓器采用78系列,稱重誤差為3%左右,各部分之間協(xié)調(diào)性較好。由此可見電橋電壓的重要性。另外,系統(tǒng)要求擴(kuò)大輸出電壓的調(diào)節(jié)范圍,故使用它很不方便。因此具體設(shè)計(jì)時(shí)考慮到運(yùn)算放大器的工作電壓大小與放大能力的關(guān)系,以及電源芯片的自身優(yōu)勢和性價(jià)比等因素,系統(tǒng)中還加入LM317穩(wěn)壓器,選用了LM337和LM317結(jié)合來設(shè)計(jì)的電源電路,給系統(tǒng)提供正、負(fù)電壓,滿足系統(tǒng)正常工作電源的要求。3.4.2電源電路設(shè)計(jì)電源電路選用兩只初級220V、次級18V,功率為10W的變壓器提供交流電源,經(jīng)過整流穩(wěn)壓濾波后,分別由LM337和LM317向系統(tǒng)提供所需的直流穩(wěn)壓電源。系統(tǒng)電源+5V、-5V、ICL7135的基準(zhǔn)電壓+1V以及供采樣用的模擬信號電壓分別由可變電阻分壓所得。電路如圖3.13所示:36 圖3.13系統(tǒng)電源電路圖LM337/LM317穩(wěn)壓器的輸出端不加電容亦能工作,但當(dāng)輸出端負(fù)載為容性的某一值時(shí),穩(wěn)壓器有可能出現(xiàn)自激現(xiàn)象,因此需要在電源的輸出端接入一個(gè)470μF/25V的電解電容C18、C19,來解決這個(gè)問題,以提供足夠的電流供給。另外在穩(wěn)壓器的輸出端接入電容后,要是輸入端出現(xiàn)短路,接入的電容器放電電流很可能破壞調(diào)整管的B、E結(jié),因此還要在穩(wěn)壓器的輸入輸出端之間接入保護(hù)二極管D4、D5。電容C14、C15在電路中的作用是為了抑制旁路R11、R12兩端的紋波電壓的。C12、C13(0.1μF)可以濾除有害雜波,提高輸入電源的質(zhì)量。C9、C10實(shí)際經(jīng)計(jì)算采用3300uF/25V的濾波電容。由于R13、R14上的電壓是輸出電壓的一部份,加入C14、C15可有效的抑制輸出電壓的紋波,在電路中選取10μF/25V的電解電容。當(dāng)R13、R14上的壓降超過7V而又發(fā)生輸出短路時(shí),C14、C15將通過調(diào)整端向輸出端放電,這時(shí)有可能燒壞穩(wěn)壓器中的放大管,為此,在電阻R11、R12上分別并聯(lián)一只二極管D6、D7,用以泄放電流,保護(hù)穩(wěn)壓器。3.5系統(tǒng)報(bào)警電路為了使電子秤在稱重時(shí)實(shí)現(xiàn)超量程和欠量程的報(bào)警提示,需要在設(shè)計(jì)中加入一個(gè)簡單的報(bào)警電路。系統(tǒng)中加入了兩個(gè)發(fā)光二極管作為超載和欠量程的指示燈,使系統(tǒng)更加完善,設(shè)計(jì)更加人性化。系統(tǒng)在工作狀態(tài),當(dāng)稱重出現(xiàn)超載或者欠量程時(shí),A/D轉(zhuǎn)換器ICL7135給輸出一個(gè)高電平信號UR(欠量程)或者OR(超載),經(jīng)非門選擇后形成低電平從而驅(qū)動(dòng)發(fā)光二極管發(fā)光提示。電路如下圖3.14所示。36 圖3.14報(bào)警電路圖36 第4章軟件設(shè)計(jì)4.1程序流程圖開始初始化LCD和7289自動(dòng)校準(zhǔn)提示輸入日期和編號開中斷INT0和INT1計(jì)算金額和單價(jià)讀A/D轉(zhuǎn)換數(shù)據(jù)清單=0?顯示商品重量單價(jià)等信息顯示清單圖4.1程序流程圖NY36 4.1中斷子程序流程圖接收7289鍵值累加鍵?中斷入口去皮鍵?商品鍵?總清鍵?清單鍵?小數(shù)點(diǎn)?數(shù)字鍵?中斷入口將金額累加并清單價(jià)清相應(yīng)標(biāo)志位當(dāng)前重量去皮單價(jià)清零清所有標(biāo)志和單價(jià)總計(jì)價(jià)格清單記錄商品號標(biāo)志dot=0dot=0dot>2存入單價(jià)小數(shù)部分存入單價(jià)整數(shù)部分NNNNNNNNYYYYYYYYY圖4.2中斷子程序流程圖N36 結(jié)論本文設(shè)計(jì)了一個(gè)理想實(shí)用的電子秤模型,集傳感器技術(shù)、微機(jī)技術(shù)于一體,實(shí)現(xiàn)了基本的稱重顯示功能,稍加擴(kuò)展,系統(tǒng)模型構(gòu)架設(shè)計(jì)合理,各子系統(tǒng)電路實(shí)現(xiàn)較好,使得系統(tǒng)功能和性能比較良好,具有推廣應(yīng)用價(jià)值。系統(tǒng)可以實(shí)現(xiàn)基本的稱重功能(稱量范圍為0~9.999Kg,誤差不大于±0.005Kg),并能設(shè)置日期和設(shè)定十種商品的單價(jià)及顯示部分商品的購物清單,另外還可以在超量程和欠量程時(shí)實(shí)現(xiàn)報(bào)警功能。整個(gè)系統(tǒng)結(jié)構(gòu)簡單,使用方便,顯示清晰,功能齊全,精度較高,按鍵使用32鍵來實(shí)現(xiàn),其中數(shù)字鍵0~9,6個(gè)控制鍵,商品是個(gè)種類1~10。電子秤顯示屏開機(jī)可顯示公司或者店家名稱,然后提示輸入日期和收銀員編號,輸入完成后進(jìn)入物品稱重顯示。整個(gè)電子秤系統(tǒng)功能比較完善,6個(gè)控制鍵分別為:購物清單鍵;去皮鍵;清單鍵,用于在單價(jià)輸入錯(cuò)誤時(shí)重新輸入;累加鍵,可以保存信息至購物清單,并將所有金額累加計(jì)算出總價(jià);小數(shù)點(diǎn)和數(shù)字鍵用于輸入單價(jià)。當(dāng)需要顯示當(dāng)前顧客的總的購物清單時(shí),可以連續(xù)按下購物清單鍵,分頁顯示所購買的商品信息,并且若已達(dá)到最后一頁,則顯示總計(jì)金額,收銀員編號,當(dāng)前日期等信息。運(yùn)行中如果顧客購買物品屬于已存入的10種商品,只要按下相對應(yīng)的商品鍵,就可以將商品的名稱和單價(jià)顯示出來,并且按累加鍵保存此商品的信息,包括其重量,金額和當(dāng)前所有金額,已存入的10種商品的單價(jià)都可以重新設(shè)置,方便實(shí)用。另外如果所稱重物超過了系統(tǒng)最大量程10Kg或小于最小轉(zhuǎn)換精度5g則發(fā)光二極管亮起發(fā)出報(bào)警提示,減少了系統(tǒng)中傳感器的損壞,延長電子秤的實(shí)用壽命。36 [參考文獻(xiàn)][1]施漢謙.電子秤技術(shù)[J].中國計(jì)量出版社.1991.[2]何小艇.電子系統(tǒng)設(shè)計(jì)[第三版][M].浙江大學(xué)出版社.2004.[3]樓然苗.51系列單片機(jī)設(shè)計(jì)實(shí)例[第二版][M].北京航空航天大學(xué)出版社.2008.[4]王幸之.AT89系列單片機(jī)原理及接口技術(shù)[M].北京航天航空出版社.2006.[5]馬心凱.基于FPGA的實(shí)用電子秤[J].低壓電器P15~23.2006.[6]王素珍,鄭淑芬,周梅.稱重配料系統(tǒng)傳感器電源及放大電路[J].傳感器技術(shù).2006.[7]盧京潮.自動(dòng)控制原理[第二版].西北工業(yè)大學(xué)出版社.2008.[8]閻石.數(shù)字電子技術(shù)基礎(chǔ)[第五版][M].高等教育出版社.2006.[9]李春茂.電子技術(shù)應(yīng)用[M].中國建材工業(yè)出版社.1999.[10]李華.單片機(jī)接口技術(shù)[M].北京航空航天大學(xué)出版社.1998.[11]陳爾紹.傳感器使用裝置[M].人民郵電出版社.1999.[12]王幸之.王雷單片機(jī)應(yīng)用系統(tǒng)抗干擾設(shè)計(jì)[M].北京航空航天大學(xué)出版社.2000.[13]候國章.測試與傳感技術(shù)[M].高等德育出版社.1998.[14]趙毅,牟同升,沈小麗.單片機(jī)系統(tǒng)中數(shù)字濾波的算法[J].2001.[15]張俊謨.單片機(jī)中級教程原理與應(yīng)用[M].北京航空航天大學(xué)出版社.1999.36 附錄1電氣圖1.原理圖36 2.PCB圖36 附錄2程序36#include#include//ad控制線#definead_244XBYTE[0xbfff];sbitad_stb=P3^3;sbitad_start=P1^1;//lcd控制線sbitlcd_di=P1^7;sbitlcd_rw=P1^2;sbitlcd_e=P1^0;//7289控制線sbitcs7289=P1^3;sbitclk7289=P1^4;sbitdio7289=P1^5;//sbitkey7289=P1^6;//7289查詢方式sbitkey7289=P3^2;//7289中斷方式sbitbaoj=P3^5;//7289子程序voidini_7289(void);voidsend7289(short);shortreceive(void);voidkeyin(void);//lcd子程序voidini_lcd(void);voidlcdd_send(short);voidlcdi_send(short);voidchk_busy(void);//ad子程序voidad(void);voidbaojing(void);voidd_change(long);//初始化,編號,日期voidini();voiderror1(void);voidnop1(){}voidchange(s)intdealy;//全局變量shortsh=0;//商品號shortdot=0;//小數(shù)點(diǎn)標(biāo)志bitlist=0;shortqb=0;charxdatashuju[7]="";shortxdatabcd[5];charxdatas1[16]="單價(jià):元";charxdatas2[16]="重量:Kg";charxdatas3[16]="金額:元";charxdatas4[16]="累計(jì):元";charcodemessage0[]="機(jī)電工程學(xué)院浙江海洋學(xué)院";charcodemessage1[]="輸入收銀員編號:";charcodemessage2[]="輸入日期:";charcodemessage3[16]="–浙江海洋學(xué)院--";charcodemessage5[16]="****歡迎您!!";charxdatabianhao[16]="收銀員:";charxdatariqi[16]="--";longintxdatazongjia=0,jine=0,jine1=0;intxdatashizhong=0,pizhong=0,zhongliang=0;longintxdataqingdan[20][4];charcodeshangpin[11][4]={{"單價(jià)"},{"蘋果"},{"梨"},{"花生"},{"大米"},{"桃子"},{"塑料"},{"瓜子"},{"桔子"},{"香蕉"},{"玉米"}};Longintxdatadanjia[11]={0,2000,3635,5502,6660,3320,5502,660,3210,6600,5600};charxdatajiage[6]={0x0,0x0,0x0,0xfe,0x0,0x0};//0.00shortxdataxiuzheng[]={0,2,5,6,7,8,9,12,13};chars[16];{s[6]=shuju[0];s[7]=shuju[1];s[8]=shuju[2];s[9]=shuju[3];s[10]=shuju[4];s[11]=shuju[5];s[12]=shuju[6];}//*************************************36 voidmain(){shorti,j;longintx,z;inty;intxdataduilie[3]={0};P1=0xff;ini_lcd();//初始化LCDlcdi_send(0x8a);while(message0[i])lcdd_send(message0[i++]);ini_7289();//初始化7289pizhong=ad1();lcdi_send(0x1);//總清屏ini();//初始頁面,收銀員編號,日期lcdi_send(0x1);//總清屏EA=1;EX0=1;//中斷//EX1=1;//ad_start=1;while(1){if(list){lcdi_send(0x80);//顯示單位名稱for(i=0;i<16;i++)lcdd_send(message3[i]);x=qingdan[0][0];//商品名單價(jià)for(i=0;i<4;i++)s1[i]=shangpin[x][i];x=qingdan[0][1];d_change(x);change(s1);lcdi_send(0x90);for(i=0;i<16;i++)lcdd_send(s1[i]);x=qingdan[0][2];//顯示重量d_change(x);change(s2);s2[10]=s2[9];s2[9]='.';if(s2[8]==32)s2[8]=0x30;lcdi_send(0x88);for(i=0;i<16;i++)lcdd_send(s2[i]);x=qingdan[0][3];d_change(x);change(s3);lcdi_send(0x98);for(i=0;i<16;i++)lcdd_send(s3[i]);//以上為第一頁EA=0;EX0=0;//關(guān)鍵盤中斷for(j=1;j>1;x=duilie[0];x=x<<1;x=x+duilie[1]+duilie[2];*/zhongliang=ad1();shizhong=zhongliang-pizhong;//數(shù)據(jù)轉(zhuǎn)換36 x=danjia[sh];jine1=shizhong*x;jine=jine1/1000;d_change(shizhong);change(s2);//顯示數(shù)據(jù)s2[10]=s2[9];s2[9]='.';if(s2[8]==32)s2[8]=0x30;lcdi_send(0x90);for(i=0;i<16;i++)lcdd_send(s2[i]);d_change(danjia[sh]);change(s1);for(i=0;i<4;i++)s1[i]=shangpin[sh][i];lcdi_send(0x80);for(i=0;i<16;i++)lcdd_send(s1[i]);d_change(jine);change(s3);lcdi_send(0x88);for(i=0;i<16;i++)lcdd_send(s3[i]);d_change(zongjia);change(s4);lcdi_send(0x98);for(i=0;i<16;i++)lcdd_send(s4[i]);lcdi_send(0x98);//while(1);}}}voidkeyin(void)interrupt0using0//中斷0服務(wù)程序{charX,i;longinty;send7289(0x15);//接收數(shù)據(jù)X=receive();cs7289=1;X=X&0x1f;switch(X){case0xf:{zongjia=zongjia+jine;qingdan[qb][0]=sh;qingdan[qb][1]=danjia[sh];qingdan[qb][2]=shizhong;qingdan[qb][3]=jine;qb++;sh=0;dot=0;danjia[0]=0;for(i=0;i<6;i++)jiage[i]=0;}break;//累加case0xe:pizhong=zhongliang;break;//去皮case0xd:{danjia[sh]=0;dot=0;}break;//清單價(jià)case0xc:{zongjia=0;jine=0;dot=0;list=0;qb=0;pizhong=0;if(sh==0)danjia[sh]=0;}break;//總清case0xa:dot=1;break;//點(diǎn)case0xb:list=1;break;//清單case0x19:sh=10;break;case0x18:sh=9;break;case0x17:sh=8;break;case0x16:sh=7;break;case0x15:sh=6;break;case0x14:sh=5;break;case0x13:sh=4;break;case0x12:sh=3;break;case0x11:sh=2;break;case0x10:sh=1;break;case0x1f:case0x1e:case0x1d:case0x1c:case0x1a:break;case0x1b:dot=1;break;default:{if(dot==0){jiage[0]=jiage[1];jiage[1]=jiage[2];jiage[2]=X;}if(dot==1){jiage[4]=X;dot++;}elseif(dot==2){jiage[5]=X;dot++;}elseif(dot>2)break;//lcdi_send(0x84);y=jiage[0];y=y*10+jiage[1];y=y*10+jiage[2];y=y*10+jiage[4];danjia[sh]=y*10+jiage[5];}}while(key7289==0);//等待鍵盤松開}//*************************************voidad(void)interrupt2using1{shortx,y;x=ad_244;y=x;if(x&0x80)baojing();36 elsex=x>>4;y=y&0xf;bcd[x]=y;}//初始頁面voidini()//輸入收銀員編號,日期{shorti,j;charX;lcdi_send(0x80);//提示輸入收銀員編號i=0;while(message1[i])lcdd_send(message1[i++]);for(i=8;i<12;i++){while(key7289);send7289(0x15);//接收數(shù)據(jù)X=receive();cs7289=1;bianhao[i]=X+0x30;lcdi_send(0x93);for(j=8;j<16;j++)lcdd_send(bianhao[j]);while(key7289==0);}lcdi_send(0x88);//提示輸入日期i=0;while(message2[i])lcdd_send(message2[i++]);for(i=0;i<10;i++)//輸入日期{if(i==4||i==7)i++;while(key7289==1);send7289(0x15);//接收數(shù)據(jù)X=receive();cs7289=1;riqi[i]=X+0x30;j=0;lcdi_send(0x9a);for(j=0;j<10;j++)lcdd_send(riqi[j]);while(key7289==0);}while(key7289);nop1();while(key7289==0);nop1();lcdi_send(0x1);}//LCD子程序voidini_lcd()//LCD初始化子程序{lcdi_send(0x30);lcdi_send(0x1);//總清lcdi_send(0xc);lcdi_send(0x2);//光標(biāo)右移,AC+1}voidlcdd_send(shortX)//LCD發(fā)送數(shù)據(jù)子程序{chk_busy();nop1();lcd_di=1;lcd_rw=0;lcd_e=0;P0=X;for(dealy=0;dealy<5;dealy++);//lcd_e=1;X=X;P0=0xff;}voidlcdi_send(shortX)//LCD發(fā)送指令子程序{chk_busy();nop1();lcd_di=0;lcd_rw=0;lcd_e=0;P0=X;for(dealy=0;dealy<5;dealy++);//lcd_e=1;X=X;P0=0xff;}voidchk_busy(){shorti=0xff;while(i&0x80){lcd_di=0;lcd_rw=1;lcd_e=0;i=P0;lcd_e=1;}}//7289子程序voidini_7289(){shorti;cs7289=1;key7289=1;dio7289=1;//7289初始化子程序for(i=0;i<50;i++)for(dealy=0;dealy<5000;dealy++);//延時(shí)25mssend7289(0xa4);cs7289=1;36 }voidsend7289(shortX)//7289發(fā)送數(shù)據(jù)子程序{shorti,j=1;cs7289=0;for(dealy=0;dealy<6;dealy++);//延時(shí)50usfor(i=7;i>=0;i--){j=j<>1;xz=ad_zhi-50;xz=xz/100;ad_zhi=ad_zhi+xiuzheng[xz];return(ad_zhi);voidd_change(longX){charqian,bai,shi,ge,shifen,baifen;longintyu;if(X>999999)error1();qian=X/100000;yu=X%100000;bai=yu/10000;yu=yu%10000;shi=yu/1000;yu=yu%1000;ge=yu/100;yu=yu%100;shifen=yu/10;baifen=yu%10;qian=qian+0x30;bai=bai+0x30;shi=shi+0x30;ge=ge+0x30;shifen=shifen+0x30;baifen=baifen+0x30;if(qian==0x30){qian=0x20;if(bai==0x30){bai=0x20;if(shi==0x30)shi=0x20;}}shuju[0]=qian;shuju[1]=bai;shuju[2]=shi;shuju[3]=ge;shuju[4]='.';shuju[5]=shifen;shuju[6]=baifen;}voidbaojing(){shorti;for(i=0;i<50;i++){baoj=0;for(dealy=0;dealy<10;dealy++);36 baoj=1;for(dealy=0;dealy<10;dealy++);}}3636

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動(dòng)畫的文件,查看預(yù)覽時(shí)可能會顯示錯(cuò)亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負(fù)責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時(shí)聯(lián)系客服。
3. 下載前請仔細(xì)閱讀文檔內(nèi)容,確認(rèn)文檔內(nèi)容符合您的需求后進(jìn)行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時(shí)可能由于網(wǎng)絡(luò)波動(dòng)等原因無法下載或下載錯(cuò)誤,付費(fèi)完成后未能成功下載的用戶請聯(lián)系客服處理。
大家都在看
近期熱門
關(guān)閉