蔡晶晶文獻綜述1

蔡晶晶文獻綜述1

ID:42012687

大小:63.50 KB

頁數(shù):7頁

時間:2019-09-06

蔡晶晶文獻綜述1_第1頁
蔡晶晶文獻綜述1_第2頁
蔡晶晶文獻綜述1_第3頁
蔡晶晶文獻綜述1_第4頁
蔡晶晶文獻綜述1_第5頁
資源描述:

《蔡晶晶文獻綜述1》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在工程資料-天天文庫。

1、母2REN,1984本科生畢業(yè)設(shè)計文獻綜述題目基于CPLD的交通控制器的設(shè)計專業(yè)電子信息工程班級084班姓名蔡晶晶指導(dǎo)教師尉理哲(教)所在學(xué)院信息科技學(xué)院2011年10月-1-Z-—1—刖吞交通問題是我國社會經(jīng)濟發(fā)展的一個大問題,尤其隨著國家優(yōu)良的政策不斷的實施,國家經(jīng)濟發(fā)展也越發(fā)迅猛,我國是各人口大國,生活密度比較大,尤其在大中城市,交通擁擠的現(xiàn)狀FI益影響了社會發(fā)展的腳步,交通是否發(fā)達衡量是衡量一個省帀盛會水平與投資環(huán)境的重要指標。如果知識一味的建設(shè)地鐵或輕軌需要人量的資金與時間,這對人多數(shù)城市來講都不臺現(xiàn)實。隨著社會經(jīng)濟的發(fā)展,城市交通問題越來越引起

2、人們的關(guān)注。人、車、路三者關(guān)系的協(xié)調(diào),已成為交通管理部門需要解決的重耍問題Z-o城市交通控制系統(tǒng)是用于城市交通數(shù)據(jù)監(jiān)測、交通信號燈控制與交通疏導(dǎo)的計算機綜合管理系統(tǒng),它是現(xiàn)代城市交通監(jiān)控指揮系統(tǒng)中最重要的組成部分。本文在VHDL狀態(tài)機的基礎(chǔ)上,利用cpld的相關(guān)知識設(shè)計了交通燈控制系統(tǒng),可以根據(jù)實際情況對燈亮時間進行口由調(diào)整,整個設(shè)計系統(tǒng)通過QuartusII軟件平臺進行了模仿真,并下載到cpld器件中進行硬件的調(diào)試,驗證了設(shè)計的交通信號燈控制電路完全可以實現(xiàn)預(yù)定的功能,貝有一定的實用性。隨著電子技術(shù)的逐漸發(fā)展,專用集成電路的tl趨完善,交通燈控制系統(tǒng)的設(shè)

3、計能更加簡潔和低成本化。如今基于CPLD所設(shè)計的系統(tǒng)具冇速度更快、體積更小、穩(wěn)定性更高、功耗更小等特點。正文—、CPLD簡介CPLD主要是由町編程邏輯宏單元(MC,MacroCell)圍繞中心的町編程互連矩陣單元組成。其中MC結(jié)構(gòu)較復(fù)雜,并具冇復(fù)朵的I/O單元互連結(jié)構(gòu),可由用戶根據(jù)盂要生成特定的電路結(jié)構(gòu),完成一定的功能。由于CPU)內(nèi)部采用固定長度的金屬線進行各邏輯塊的互連,所以設(shè)計的邏輯電路具有時間可預(yù)測性,避免了分段式互連結(jié)構(gòu)時序不完全預(yù)測的缺點。20世紀70年代,最早的可編程邏輯器件一PLD誕生了。其輸出結(jié)構(gòu)是可編程的邏輯宏單元,因為它的硬件結(jié)構(gòu)設(shè)計

4、可由軟件完成(相當于房子蓋好后人工設(shè)計局部室內(nèi)結(jié)構(gòu)),因而它的設(shè)計比純硬件的數(shù)字電路具冇很強的靈活性,但其過于簡單的結(jié)構(gòu)也使它們只能實現(xiàn)規(guī)模較小的電路。為彌補PLD只能設(shè)計小規(guī)模電路這一缺陷,20世紀80年代中期,推出了復(fù)雜可編程邏輯器件-CPLDo冃前應(yīng)用已深入網(wǎng)絡(luò)、儀器儀表、汽車電子、數(shù)控機床、航天測控設(shè)備等方而。器件特點它具冇編程靈活、集成度高、設(shè)計開發(fā)周期短、適用范用寬、開發(fā)工具先進、設(shè)計制造成本低、對設(shè)計者的硬件經(jīng)驗要求低、標準產(chǎn)品無需測試、保密性強、價格人眾化等特點,可實現(xiàn)較大規(guī)模的電路設(shè)計,因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計和產(chǎn)品牛產(chǎn)(一般在10

5、,000件以卜-)之屮。幾乎所冇應(yīng)用中小規(guī)模通用數(shù)字集成電路的場合均口J應(yīng)用CPLD器件。CPLD器件己成為電了產(chǎn)品不可缺少的組成部分,它的設(shè)計和應(yīng)用成為電子工程師必備的一?種技能。CPLD是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基木設(shè)計方法是借助集成開發(fā)軟件平臺,用原理圖、硬件描述語言等方法,生成和應(yīng)的目標文件,通過下載電纜(“在系統(tǒng)”編程)將代碼傳送到口標芯片中,實現(xiàn)設(shè)計的數(shù)子系統(tǒng)。這里以搶答器為例講一下它的設(shè)計(裝修)過程,即芯片的設(shè)計流程。CPIJ)的工作大部分是在電腦上完成的。打開集成開發(fā)軟件(altera公司,Max+plux

6、II)畫原理圖、寫硬件描述語言(VHDL,Verilog)編譯一給出邏輯電路的輸入激勵信號,進行仿真,查看邏輯輸出結(jié)果是否正確?進行管腳輸入、輸出鎖定(7128的64個輸入、輸出管腳可根據(jù)需要設(shè)定)一生成代碼一通過下載電纜將代碼傳送并存儲在CPLD芯片屮。7128這塊芯片各管腳已引出,將數(shù)碼管、搶答開關(guān)、指示燈、蜂鳴器通過導(dǎo)線分別接到芯片板上,通電測試,當搶答開關(guān)按下,対應(yīng)位的指示燈應(yīng)當亮,答対以后,裁判給加分后,看此時數(shù)碼顯示加分結(jié)果是否正確,如發(fā)現(xiàn)侑問題,可重新修改原理圖或硬件描述語言,完善設(shè)計。設(shè)計好后,如批量生產(chǎn),可直接復(fù)制其他CPLD芯片,即寫入

7、代碼即可。如果要對芯片進行其它設(shè)計,比如進行交通燈設(shè)計,要重新畫原理圖、或?qū)懹布枋稣Z言,重復(fù)以上工作過程,完成設(shè)計。這種修改設(shè)計相當于將房屋進行了重新裝修,這種裝修對CPLD來說可進行上萬次。家庭成員:經(jīng)過兒十年的發(fā)展,許多公司都開發(fā)出了CPLD可編程邏輯器件。比較典型的就是Altera.Lattice.Xilinx世界三大權(quán)威公司的產(chǎn)品,這里給出常用芯片:AlteraEPM7128S(PLCC84)LatticeLC4128V(TQFP100)XilinxXC95108(PLCC84)二、VHDL簡介VHDL語言是一種用于電路設(shè)計的高級語言。它在80年

8、代的后期出現(xiàn)。最初是由美國國防部開發(fā)出來供美軍用來提高設(shè)計的可靠性

當前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。